• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,455)
  • 리포트(1,279)
  • 자기소개서(165)
  • 이력서(6)
  • 시험자료(4)
  • 논문(1)

"VHDL" 검색결과 161-180 / 1,455건

  • 한글파일 VHDL을 이용한 교통신호기제어
    VHDL CODE 분석 및 결과 4. 결론 및 느낀점 1. ... VHDL CODE 분석 및 결과 1) VHDL CODE library ieee; use ieee.std_logic_1164.all; entity traffic_hwang is port ... 결론 및 느낀점 - 지금까지 교통신호기의 제어에 대해 VHDL구문을 이해하고, Max+ Plus를 이용한 프로그램을 돌려보면서 시뮬레이션 결과 값을 산출하는 과정에서 구문에 대한 결과
    리포트 | 10페이지 | 1,500원 | 등록일 2011.12.30
  • 파워포인트파일 VHDL Digital Watch(LCD) VHDL PPT입니다.
    of Members Intended Functionalities Brief Design Work Plan(1/2) 1주차(5.19 ~ 25) LCD Interface 자료 수집 VHDL ... 사이트 정보 수집 Digital Watch 자료 수집(문헌 및 인터넷) 2주차(5.26 ~ 6. 1) LCD Interface source code 분석 Digital Watch VHDL ... source coding Emulation in Board Work Plan(2/2) 3주차(6. 2 ~ 6. 8) VHDL Coding for Additional Functionalities
    리포트 | 14페이지 | 2,000원 | 등록일 2008.09.28
  • 한글파일 Vhdl 메모리 설계
    메모리 설계(ROM) VHDL 설계 (lpm 함수를 이용) library ieee; use ieee.std_logic_1164.all; library lpm; use lpm.lpm_components.all ... ... 00001010; 01100 : 00001011; 01101 : 00001100; 01110 : 00001101; 01111 : 00001110; end; 파형 Timing 확대 화면 VHDL
    리포트 | 5페이지 | 1,000원 | 등록일 2008.01.08
  • 한글파일 VHDL을 이용한 Elevator system 설계
    설계목표 8층 엘리베이터의 내부 제어를 위한 VHDL구문 설계 ALTERA DE2 보드의 구성요소를 가지고 엘리베이터의 동작을 표현 4.
    리포트 | 26페이지 | 3,000원 | 등록일 2010.10.16
  • 한글파일 VHDL 플리플롭, 16진 카운터, 10진 카운터 등
    특히 VHDL로 카운터를 작성하는데 소요된 시간이 꽤 길었다. 정확한 의미를 이해하지 못하고 VHDL을 작성하려고 하니 어려움이 많았다. ... D f/f 회로도 작성 fucntion 결과 VHDL 작성 해석D f/f은 입력의 결과와 출력의 결과가 동일하다.CLK가 0일 경우 현재값을 유지하며, 1일 경우 입력 D의 값을 Q로 ... J=1, K=1일 때 JK f/f은 Toggle(반전)을 실행한다. 320~400ns 사이 CLK에서 Toggle이 되는 것을 확인할 수 있다. 5. 16진 카운터 VHDL 작성 RTL
    리포트 | 11페이지 | 2,500원 | 등록일 2015.05.30
  • 워드파일 VHDL MUX and DEMUX(vhdl prelab 입니다)
    이와 같은 회로의 논리식을 이용한 VHDL 표현을 자료흐름 표현이라 한다. ... 출력은 출력 선택 신호(Sel)에 의해 선택된다. - Write VHDL codes library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL
    리포트 | 16페이지 | 2,000원 | 등록일 2008.09.28
  • 한글파일 VHDL을 이용한 State_machine 설계
    응용논리회로설계 [State_machine] 응용논리회로설계 State_machine 모든 코딩은 ultraedit32를 이용하여 코딩하였으며, 시뮬레이션은 modelsim 6.0과 synplify 8.5를 이용하였습니다. 1. 본문 coding Library IEEE..
    리포트 | 6페이지 | 1,000원 | 등록일 2010.10.16
  • 한글파일 [VHDL]스탑워치 설계
    스탑워치 VHDL 설계?
    리포트 | 10페이지 | 1,500원 | 등록일 2009.06.03
  • 한글파일 VHDL을 이용한 다양한 플립플롭 및 카운터설계, 실습
    실습명 : 4주차 VHDL 실습 2. ... -VHDL- -RTL Viewer- -시뮬레이션 결과- 2부터 시작하여 D까지 카운트를 반복하는 것을 확인할 수 있다. ... VHDL 및 실습 Report SR F.F.~12진 카운터 제출일 2013년 4월 1일 최종기한 2013년 4월 1일 담당교수 최 종 성 교수님 학과 전 자 공 학 과 학번 2009144029
    리포트 | 22페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 한글파일 vhdl 기본게이트 설계
    결과 검토 및 의견 - xilinx ise를 사용하여 기본게이트를 설계한 이번 실험에서 기본적인 VHDL 설계의 흐름을 살펴보았으며 xilinx ise 와 modelsim의 기본적인
    리포트 | 6페이지 | 1,000원 | 등록일 2009.04.25
  • 한글파일 VHDL의 활용(_디지털시계의 설계)
    디지털시스템의 설계를 위한 VHDL의 기본과 활용 VHDL의 활용 [ 디지털시계(digital watch)의 설계] ▣ 제1절 목표,구성 및 동작 ? 설계의 목표 ? ... 설정은 설정버튼1를 누르면 분의 값이 1씩 증가 - 시 설정은 설정버튼1를 누르면 시의 값이 1씩 증가 ▣ 제2절 하드웨어 구조 [그림 3.1] 디지털시계의 하드웨어 구조 ▣ 제3절 VHDL
    리포트 | 12페이지 | 1,500원 | 등록일 2010.06.13 | 수정일 2017.12.22
  • 한글파일 VHDL를 이용한 Digital Clock의 설계
    Design of A Digital Clock Chip VHDL Modeling Project Homework < Mode Generator Part > 1. ... Sources & Results 1) VHDL Source library IE process;-- end of process statement process(STATE) -- If ... Sources & Results 1) VHDL Source library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL
    리포트 | 34페이지 | 10,000원 | 등록일 2010.06.24
  • 한글파일 시계 VHDL 소스 및 블록
    ★ 블록 파일명 : cnt ★ 초 소스명 : cnt_1 ★ 분 소스명 : cnt_2 ★ 시 소스명 : cnt_3 ★ cnt_1 source ( 초 ) ★ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_un..
    리포트 | 5페이지 | 3,500원 | 등록일 2010.05.10 | 수정일 2016.01.11
  • 한글파일 [VHDL] VHDL
    VHSIC 프로그램의 일부로 VHDL의 개발을 지원. . 1981년 메사추세츠의 Woods Hole ) 학술 대회를 시작으로 VHDL이 개발되기 시작 . 1980년대 중반 이후부터 ... VHDL의 특징 (1) VHDL의 장점 * Technology Independence and Public Availability 표준 언어로 사용되므로 대중성을 띤다. ... VHDL simulator가 등장하였으나 호환성에 문제가 있었다.
    리포트 | 2페이지 | 1,000원 | 등록일 2002.12.11
  • 한글파일 VHDL 을 이용한 디지털 시계 설계
    1. 서론 시(Hour), 분(Minute), 초(Second)를 가지는 시계를 표시하고, 각각의 값을 설정 할 수 있도록 하고, 월(Month), 일(Day), 년(Year)을 가지는 달력을 표시 하고 각각의 값을 설정 할수 있도록 하며, Stop Watch는 시작과..
    리포트 | 34페이지 | 3,000원 | 등록일 2010.06.03
  • 한글파일 VHDL를 이용한 계산기설계
    하지만 component를 사용하니 상당히 복잡해질 뻔한 설계가 보다 쉽고 체계적인 구조로 설계되어, VHDL에서는 되도록 많은 component를 사용해야만 점점 복잡해지는 실험에서
    리포트 | 23페이지 | 1,000원 | 등록일 2010.05.27
  • 파일확장자 VHDL - Digital Watch 소스. 정상 동작 확인. A+
    vhdl 프로그램 소스입니다. VHDL - Digital Watch 소스 입니다.
    리포트 | 55페이지 | 3,000원 | 등록일 2014.12.30 | 수정일 2016.05.24
  • 파일확장자 [VHDL][논리회로] Mealy, Moore Type의 FSM(신문자판기)
    [VHDL][논리회로] Mealy, Moore Type의 FSM(신문자판기) A+받은 설계 입니다
    리포트 | 1,000원 | 등록일 2014.11.15 | 수정일 2018.05.17
  • 한글파일 VHDL을 이용한 설계-7 segment
    테스트 벤치 또한 일반적인 VHDL 코드와 같은 구성을 가진다. ... [Project 1] VHDL을 이용한 설계 1. 개요 ▣ Clk의 High 신호에 자신의 학번이 출력되게 하라. - 학번: 200720096 2.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.07.12
  • 한글파일 VHDL이용한 NAND,NOT,OR,AND 설계.
    일시 2010.5.28 전공 전자전기공학부 실습시간 학번 이름 제목 * 7 SEGMENT DECODER FOR VHDL 실습목적 실습내용 실습결과 * 7 SEGMENT DECODER에 ... 대하여 VHDL로 설계 하시오. * entity명을 포함한 모든 file-name은 entity와 동일한 명을 사용함 (file-name은 본인의 영문이름(full-name)으로 ... 정함 VHDL CODE library IEEE; use IEEE.std_logic_1164.all; --SEG_DECODER entity BORA is port(IN_BCD : in
    리포트 | 7페이지 | 1,000원 | 등록일 2012.07.04
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업