• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,455)
  • 리포트(1,279)
  • 자기소개서(165)
  • 이력서(6)
  • 시험자료(4)
  • 논문(1)

"VHDL" 검색결과 41-60 / 1,455건

  • 파일확장자 전자공학과 논리회로실험 A+ 프로젝트 보고서 (VHDL코드포함)
    1. 설계 목표 및 요구사항1) 설계 요구사항. -FPGA Kit에서 7 segment 모듈과 Keypad 모듈을 이용하여 Digital Lock을 설계한다. -Digital Lock은 6자리 비밀번호를 설정하는 기능, 설정된 비밀 번호가 입력되면 잠금 해제되는 기능,..
    리포트 | 17페이지 | 3,000원 | 등록일 2020.12.17
  • 한글파일 VHDL_5_Digital Watch, 1초 생성기, 60진24진 카운터, MUX, FND decoder
    결과를 FND에 출력하기 위한 out 포트들 선언. 20~58) 이전에 설계한 vhdl을 component로 사용하기 위해 선언함. 60~71) 선언된 컴포넌트 들을 연결해줄 신호들을
    리포트 | 22페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 한글파일 VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine
    실습제목: 4비트 비동기 2진 상승 카운터 1. 주제 배경 이론 카운터는 event의 횟수를 카운트 하는 기능을 가진 순차회로이다. 주로 플립플롭을 이용하여 만든다. 그래서 플립플롭의 수와 연결 방식에 따라 최대로 할 수 있는 카운터 값이 바뀐다. 카운터는 Clock을..
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 파일확장자 키보드로 움직이는 VHDL 로봇팔 제안서,최종보고서,설계포트폴리오,소스코드[DE0보드 사용]
    3. 연구개발 내용 및 범위 먼저, 로봇의 기구부는 알류미늄, 아크릴, 포맥스 등의 작품을 완성하였을 시 내구성이 보장될 수 있도록 강도가 보장되지만 동시에 제작에 용이한 재질을 선택하여 로봇팔의 기구부를 설계한다. 몇가지 재질을 접해본 결과 ‘포맥스’라고 불리는 재..
    리포트 | 25페이지 | 10,000원 | 등록일 2021.02.19 | 수정일 2021.03.04
  • 파일확장자 [VHDL] Combinational logic design with 8to1 MUX & 4to16 Decoder, 코드 및 시뮬레이션 분석
    세 번째 실습은 함수 F(A,B,C,D) = ∑m(0,2,3,8,10,11,12,14,15)를 이해하고 이를 VHDL로 알고리즘을 짜 8 to 1 MUX를 이용한 회로와 4 to 16 ... 4 to 16 VHDL Decoder의 코드는 다음과 같다. Input 은 4비트짜리 logic vector w이고 실습 조건에 따라 En도 input으로 추가하였다.
    리포트 | 28페이지 | 4,000원 | 등록일 2020.10.08 | 수정일 2021.10.18
  • 한글파일 VHDL이란?
    VHDL의 장단점 VHDL의 구성 1)Entity declaration 2)Architecture body 3)Library, Object VHDL의 표현방법 1) 동작적 모델링(behavioral ... -단점- 1) 복잡성 물론 VHDL은 편리하다. 그러나 이는 VHDL이라는 언어를 완벽하게 이해한 사람에게만 해당된다. 사실 VHDL은 비교적 배우기 어려운 복잡한 언어이다. ... 디지털 회로 설계 HW#2 목차 VHDL이란?
    리포트 | 13페이지 | 1,000원 | 등록일 2017.12.01
  • 워드파일 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
    디지털 논리회로 [ModelSim을 이용한 VHDL 실습 과제] 실습 내용: ModelSim을 이용해 4bit full adder를 설계하고 테스트벤치를 이용해 시뮬레이션 파형을 구하고
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • 파일확장자 아주대학교 논리회로 / VHDL 설계 과제 보고서 (4 bits Gray to Binary code conversion)
    1. 논리회로 설계 내용 및 동작 원리 설명A) 알고리즘4비트 그레이 코드를 4비트 2진 코드로 변환 하 는 일반적인 알고리즘은 다음과 같다 .1. 4비트 그레이코드를 입력한다.2. G3 (MSB) 값을 그대로 B3 (MSB) 값에 출력한다.3. 출력된 B3과 G2의 ..
    리포트 | 4페이지 | 1,000원 | 등록일 2020.05.29 | 수정일 2020.06.05
  • 한글파일 vhdl MEMORY 설계
    VHDL MEMORY 설계 -6차- Contents 01 실습목적 02 이론 03 실습내용 및 실습결과 -Signal -Variable -ROM -RAM 04 실습소감 01 실습목적
    리포트 | 10페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2019.06.13
  • 한글파일 VHDL실습 디지털 시계
    VHDL 및 실습 디지털 시계 설계 및 시뮬레이션 1.서론 ‘디지털시계‘ 라는 전체 시스템의 설계를 vhdl이나 schematic등 하나의 디자인이 아닌 기능별로 블록화, 부품화
    리포트 | 14페이지 | 2,000원 | 등록일 2019.04.20
  • 파일확장자 VHDL
    빛을 추적하는 로봇 [해바라기로봇]Quartus II 9.1sp1 Web Edition 로 동작하는 프로그램입니다.
    리포트 | 17페이지 | 5,000원 | 등록일 2012.12.31
  • 한글파일 VHDL로 만드는 라인트레서
    1. 주제배경이론 차가 움직이는 가장 기본 부분을 생각하면 모터에 의해 작동하는 것이다. 이번 실험에서 사용한 모터는 스텝모터를 사용하였고, 스텝모터는 고정자의 상의 수에 따라 3상, 4상, 5상, 권선형으로 구분할 수 있다. 그 중에 상여자 방식을 설명하면 크게 1상..
    리포트 | 7페이지 | 1,000원 | 등록일 2018.10.09
  • 한글파일 ps2통신 키보드 VHDL, ps2 keyboard vhdl
    키보드를 안눌렀을 때, High로 되므로 누를시 low되면 다시 시작 ▶Data는 가장 우측값이 LSB로 전송되고 가장 좌측 값이 MSB로 전달 2-1 ps/2 keyboard VHDL
    리포트 | 16페이지 | 1,000원 | 등록일 2018.12.01
  • 한글파일 VHDL실습 MUX 및 Decoder
    내가 설계한 칩이 어느 위치에 있는지 확인할 수 있다. file-new-VHDL file을 열어 다음과 같이 1bit 2? ... VHDL 및 실습 MUX 및 Decoder 설계 및 시뮬레이션 1.서론 및 배경이론 논리게이트를 사용한 흐름제어 -> ENABLE은 ‘할 수 있게 하다’라는 의미로 출력을 제어할 ... 다음과정들은 schematic으로 디자인한 과정과 동일하다. schematic과 vhdl로 설계한 것이 RTL viewer를 통해 다음과 같이 설계되었음을 확인 할 수 있다.
    리포트 | 18페이지 | 2,000원 | 등록일 2019.04.20
  • 한글파일 VHDL VGA Pattern Generator
    화면에 Display 한다. ③ VHDL을 이용하여 R, G, B, W 4색을 가로줄 패턴으로 그라데이션하여 화면에 Display 한다. ④ VHDL 코드를 이용하여 R, G, B ... 실습목적 ① 클럭 분주를 동한 VGA Pattern Generator을 설계하고 클럭과 데이터 전송의 상관관계를 안다. ② VHDL을 이용하여 R, G, B 3색을 세로줄 패턴으로
    리포트 | 16페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2018.08.27
  • 한글파일 VHDL실습 VGA Pattern Generator
    VHDL 및 실습 1.서론 (1) 빛의 3원색 색의 3원색과 빛의 3원색은 위의 그림과 같다. 하지만 색의 3원색이 혼합될 때와 빛의 3원색이 혼합될 때의 원리는 약간 다르다.
    리포트 | 14페이지 | 2,000원 | 등록일 2019.04.20
  • 한글파일 VHDL실습 상태머신 설계
    VHDL 레포트 1.서론 (1) state machine (2) BCD Counter (3) Gray Code Counter (4) Dual Counter (5) Stepping
    리포트 | 18페이지 | 2,000원 | 등록일 2019.04.20
  • 한글파일 VHDL VLSI SOC 설계 doorlock
    1. door_locklibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity door_lock is Port ( CL..
    리포트 | 8페이지 | 1,000원 | 등록일 2018.11.18
  • 한글파일 VHDL 디지털 시계
    1)디지털시계 ●목적 · MODE SWITCH의 동작에 대하여 공부한다. · Debounce 회로의 동작에 대하여 공부한다. · 시계 조정 회로의 동작에 대하여 공부한다. · Timebase signal generator circuits(general form)에 대하..
    리포트 | 21페이지 | 2,000원 | 등록일 2015.10.16
  • 한글파일 VHDL실습 메모리(ROM,RAM)설계
    VHDL 레포트 1.서론 - (1) signal vs variable (2) ROM vs RAM 2.실습내용 - (1) signal (2) variable (3) ROM (4) RAM
    리포트 | 10페이지 | 2,000원 | 등록일 2019.04.20
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업