• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(173)
  • 리포트(163)
  • 시험자료(7)
  • 논문(1)
  • 자기소개서(1)
  • 방송통신대(1)

"Sequential Logic" 검색결과 141-160 / 173건

  • 파일확장자 [디지털 로직, Digital design] 산술논리연산장치(Arithmetic and Logic Unit ; ALU) orcad hierarchical 회로도 & pspice 시뮬레이션
    )과 동기식 순차논리회로(Synchronous Sequential Logic)을 종합적으로 포함하고 있는 것은 산술논리회로(ALU-Arithmetic and Logic Unit)라고 ... term-project를 부여받고 어떤 디지털 시스템을 구현해 보는 것이 그동안 배운 것을 총괄적으로 정리할 수 있는 기회가 될 것인지 생각해 본 결과 그간 배운 조합논리회로(Combinational Logic
    리포트 | 9페이지 | 1,000원 | 등록일 2004.12.03
  • 한글파일 plc 제어 실험
    많은 데이터 처리를 하거나 비슷한 동작이 되풀이되는 프로그램을 작성하는 경우에 IL이 래더 언어보다 편리하여 널리 사용된다. 3) SFC (Sequential Function Chart ... 고찰 - PLC란 Programmable Logic Controller의 약어로 기존에 사용하던 제어반(Control panel) 내의 릴레이, 타이머, 카운터 등의 기능을 IC,
    리포트 | 6페이지 | 2,000원 | 등록일 2009.11.01
  • 한글파일 [전기전자기초실험]플립플롭과 카운터 설계 결과보고서
    klabs.org/richcontent/Tutorial/MiniCourses/architecture_logic_mapld2001/Logic_Section /3_Sequential.ppt ... Contemporary Logic Design - 2nd edition, Randy H.Katz pp279-282 ?
    리포트 | 8페이지 | 2,000원 | 등록일 2007.06.15
  • 워드파일 [회로실험] 논리게이트를 이용한 카운터 설계
    -실험계획서에 따라 작성 -logic converter 를 사용하여 Boolean 식 확인 -switch를 이용한 초기값의 설정 = 2 \* GB3 ② 구현결과 검증 -load, ... Clock을 넣어주는 과정에서 function generator를 이용했더니 출력에 계속 에러가 생겨서 word generator를 이용해주었다. word generator의 R 값에 logic ... #회로실험1 실 험 일 자 2005년 4 월 13 일 제 출 일 자 2005년 4 월 15 일 조 별 명 단 담당 교수명 post report 기본디지털실험 Sequential Circuit
    리포트 | 10페이지 | 1,000원 | 등록일 2005.06.30
  • 한글파일 PLC에 대하여
    # HW1 PLC에 대한 조사 프로그램형 제어기 (PLC; Pogrammable Logic Controller) 1. ... SFC (Sequential Function Chart) 병렬 분기 및 판단 분기를 효율적으로 표현하며, 현재 스테이트에 따라 동작을 결정하는 PLC언어로 SFC가 있다.
    리포트 | 14페이지 | 1,500원 | 등록일 2009.06.02
  • 한글파일 [전산기구조] 전산기의 구조
    (반가산기, 전가산기, 디코더, 엔코더, 멀티플렉서, 디멀티플렉서 ② 순서논리회로(Sequential logic circuit) - 회로의 출력 값이 내부상태와 입력에 따라 정해지는 ... 따라 ㆍ정적 메모리(Static) ㆍ동적 메모리(Dynamic) ④ 엑세스 방식에 따라 ㆍDASD(Direct Access Storage Device : 직접 접근) ㆍSASD(Sequential ... 기반으로 AND, OR, NOT 등과 같은 논리 연산에 따라 동작을 수행하는 논리소자들을 사용하여 구성된 전자회로. (2) 논리 회로의 분류 ① 조합논리회로(Combinational logic
    리포트 | 7페이지 | 1,000원 | 등록일 2002.06.21
  • 워드파일 [회로 실험] Vending machine
    Objectives Sequential Logic 의 응용 TTL을 이용한 FSM(Finite State Machine) 의 구현 Experiments [이론요약] Sequential ... Table S0 = 0 , S1 = 1 로 할당 Current State 입력 (D) Next State 출력(Next sate 와 Output 을 계산하는 Combinational Logic ... [실험] (여기서부터 Prelab 수행) 실험 개요 Sequential Vending Machine 설계 실험 순서 문제의 이해 및 제약 조건 이 자판기는 100원 짜리와 50원 짜리
    리포트 | 14페이지 | 5,000원 | 등록일 2005.09.25
  • 워드파일 [회로실험] 미리정해둔 4BIT 신호를 인식하는 회로작성
    #회로실험1 실 험 일 자 2005년 4 월 20 일 제 출 일 자 2005년 4 월 22 일 조 별 명 단 (2조) 담당 교수명 post report 응용 디지털실험 Sequential ... LOGIC CONVERTER 를 이용하려면 다른 계측기가 모두 없는 상태에서 연결해 주어야 에러가 나지 않는다. ... Reference……………………………………………7 Abstract 인식해야 할 4bit sequence를 정의하고 multisim을 사용하여 sequence recognizer를 설계하고 logic
    리포트 | 7페이지 | 1,000원 | 등록일 2005.06.30
  • 워드파일 [Verilog, 구현]Parallel Sorter 구현 (Verilog)
    \h 2 문제 기술 문제 정의 4개의 2 bit 이진수를 입력, 숫자들을 크기 순에 따라 오름차순으로 정렬 하여 출력하는 하드웨어 Sorting machine 을 순차 논리 회로(Sequential ... Logic Circuit)으로 설계 Components 설명 █ 입출력 Input : Clock , 정렬할 4개의 2 bit값 ( a, b, c, d ) DIP SWITCH로 연결 ... 각 사이클에서 어는 값을 Comparator 의 입력 값으로 결정하는 가를 구현 Comparator 2 개 , Register 4개, Multiplexer 4개 █ Sorter Logic
    리포트 | 8페이지 | 2,000원 | 등록일 2006.08.22
  • 한글파일 [디지털]디지털논리회로실험 11,13,14장 예비 레포트
    관련이론 순차논리회로(Sequential Logic Circuit)는 입력에 의해서만 출력이 결정되는 조합논리회로(Combinational Logic Circuit)와는 달리 입력신호 ... 논리실험기 (Digital Logic Lab. Unit) ? DC Power Supply 2대 ? 오실로스코프 ? 전압계 ? 펄스 발생기 ? ... 논리실험기 (Digital Logic Lab. Unit) ? DC Power Supply 1대 ? 오실로스코프 ? 전압계 ? 펄스 발생기 ?
    리포트 | 18페이지 | 1,500원 | 등록일 2006.05.11
  • 한글파일 디지털시계제작 프로젝트
    . ♨ 관련이론 ※ 플립플롭의 동작과 종류 순서논리 회로(Sequential logic circuit)는 현재의 입력 신호뿐만 아니라 일정 시간이 지난 후에 출력 신호의 일부가 입력으로
    리포트 | 15페이지 | 5,000원 | 등록일 2008.09.19
  • 한글파일 논리회로실험 - 플립플롭 및 래치
    ◈이론 ※ 플립플롭의 동작과 종류 순서논리 회로(Sequential logic circuit)는 현재의 입력 신호뿐만 아니라 일정 시간이 지난 후에 출력 신호의 일부가 입력으로 궤환
    리포트 | 6페이지 | 1,000원 | 등록일 2008.09.19
  • 한글파일 [컴퓨터]컴퓨터의 변천사
    술어논리(predicate logic)로 명제를 표현하고, 논리연산 기능을 겸비한 언어이다. ... 연표 1982 : FGCS project 가 시작되어 5 년 동안 재정지원을 받다. 1985 : 최초의 FGCS hardware 라고 알려진 Personal Sequential ration ... 그중 하나가 IBM에서 SLT(Solid Logic Technology)라 불리는 것이 사용된 점이다.
    리포트 | 16페이지 | 1,000원 | 등록일 2005.11.09
  • 파일확장자 [ASIC] 경희대학교 ASIC 설계 및 실험 모든 보고서
    VHDL Code*OR게이트의 Codelibrary IEEE;use IEEE.std_logic_1164.all;entity OR2 isport (A: in STD_LOGIC;B: in ... STD_LOGIC;O: out STD_LOGIC);end OR2;--}} End of automatically maintained sectionarchitecture Dataflow_Description ... of OR2 isbegin O
    리포트 | 6페이지 | 1,000원 | 등록일 2004.01.21
  • 파워포인트파일 [로봇] Mobile Robot ER1
    use a specific camera Resolution Remote Transmission Use JEPG compression quality smoothing Sound Tab Sequential ... Sound Tab Photo Tab Video Tab Motion Tab Obstacle Avoidance Tab Run Tab Joystick Tab IR Sensors Tab Logic ... more than once Prevent from restarting for (seconds) IR Sensors Tab Sensor # reflection threshold(%) Logic
    리포트 | 46페이지 | 2,000원 | 등록일 2004.11.01
  • 한글파일 [포트란] 포트란 내용정리
    Sequential : 각 단계가 순차적 실행 2. Selection : 몇 가지 실행 중 하나가 선택되어 실행 (if 문..) 3. ... Logical IF statement . logical expression은 단독으로 사용되기보다는 위와 같은 logical if statement와 함께 흔히 사용된다. ex) ... Logical Expressions where relational-operator : ref. pp. 62 (.lt., .gt., .eq., .le., .ge., .ne.)
    리포트 | 45페이지 | 1,000원 | 등록일 2004.01.27
  • 한글파일 [디지털] VHDL 강좌5
    예제 3과 4는 Concurrent하게 설계되었고 나머지 하나는 Process 문안에서 Sequential하게 설계되었습니다. ex3) library ieee; use ieee.std_logic ... 앞에서도 설명했듯이 Concurrent와 Sequential 문이 차이지만 기능은 같다. 여기서 자기 스타일에 맞는 예제를 선택해 자기 자신의 코딩 스타일을 갖는 것은 중요하다. ... std_logic은 1비트를 설명하는 것이며 1비트 이상에서는 std_logic_vector(n downto 0) 사용합니다. std_logic_vector(n downto 0)은
    리포트 | 5페이지 | 1,000원 | 등록일 2001.11.11
  • 파워포인트파일 [마케팅] CRM
    mining SQL SQL generators Query tools OLAP Description Prediction Visualization Clustering Association Sequential ... POS DM / email Mass media Other Color scheme CIR Campaign manager DSS Analytics Triggers / business logic ... warehouse usage, support and enhancement Business (requirements) discovery Data warehouse consulting DW logical
    리포트 | 23페이지 | 2,000원 | 등록일 2003.01.29
  • 파워포인트파일 VHDL
    ,aeqb); Aeqb = a(0) XOR b(0) NOR (a(1) XOR b(1)); If a =b then aeqb = '1'; else aeqb = '0'; end if; Sequential ... gates Netlist with Technology-specific logic gates Technology mapping Synthesis Tool Vendors Synopsys ... and 1980s VHDL ver 7.2 - 1985 IEEE1076 standard - 1987 MilStd454 - 1988 IEEE1164 - 1993 (multivalued logic
    리포트 | 15페이지 | 1,000원 | 등록일 2001.09.14
  • 한글파일 [컴퓨터기초] 주기억장치와 보조기억장치
    *논리 레코드 (Logical Record) :데이터를 기록하는 기본 단위가 된다. ... 순차처리와 비순차처리 모두 가능 (2) 자기 테이프 (Magnetic Tape) *기억된 순서에 따라 내용을 읽는 순차 접근(Sequential Access)만 가능하기 때문에 접근
    리포트 | 13페이지 | 1,000원 | 등록일 2005.06.09
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업