• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,016)
  • 리포트(794)
  • 자기소개서(209)
  • 시험자료(3)
  • 이력서(3)
  • 논문(2)
  • 서식(2)
  • 방송통신대(2)
  • 표지/속지(1)

바로가기

FPGA 독후감 - FPGA 관련 독후감 1건 제공

"FPGA" 검색결과 161-180 / 1,016건

  • 워드파일 서울시립대학교 전전설2 2주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    단점으로는 FPGA에 비해 다양한 부가 기능을 가지지 못하는 점이 있다. 또한 반도체의 집적도가 FPGA에 비해 떨어지는 점이 있다. ... FPGA와 큰 차이점으로는 FPGA는 내부적으로 LUT(look up table)을 활용하는 반면, CPLD는 게이트 수로 논리 기능을 형성하는 것이다. ... CPLD 소자 - FPGA(Field Programmable Gate Array) Hyperlink \l "주석5" [5] FPGA는 설계 가능 논리 소자와 프로그래밍이 가능한 내부
    리포트 | 16페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.10.24
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    퀴즈 2번의 결과를 VHDL로 설계하고 FPGA로 구현하여 동작 확인 VHDL 코드 및 FPGA를 통한 구현 결과는 아래와 같다. ... [표 4]의 priority encoder를 VHDL로 설계하고 FPGA로 구현하여 동작 확인 VHDL 코드 및 FPGA를 통한 구현 결과는 아래와 같다. ... 이유는 알 수 없으나 앞으로 FPGA 구현시 실험실의 컴퓨터를 사용하는 것 이 좋을 것 같다. 4.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 워드파일 Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    관련이론 1) FPGA FPGA(Field-Programmable Gate Array)는 설계 가능 논리 소자와 프로그래밍이 가능한 내부 회로가 포함된 반도체 소자이다. ... 실험 장비 및 부품 - Digilent Nexys4 FPGA Board - Vivado Design Suite 2014.4 4. ... 두 번째는 FPGA같은 PLD를 프로그램하기 위해 사용한다. HDL로 작성된 코드는 로직 컴파일러를 이용하여 컴파일한 후 해당 기기에 올려진다.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • 한글파일 디시설 - 7-세그먼트 디코더 설계
    FPGA에서 한 자리의 16진수는 4비트에 저장되며, 7-세그먼트에 출력하려면 디코딩해야 한다. ... 아직은 FPGA kit에 작성한 코드를 연결해서 직접 LED를 확인하는 과정이 생소하지만 여러 실습과정들을 거치면서 실력이 늘고 있다는 것을 느끼게 되었다. ... 이 실습에서는 스위치 입력으로 저장된 0x0~0xF 사이의 한 자리 16지수를 한자리 7-세그먼트에 출력하며 스위칭 인코더와 7-세그먼트 디코더의 동작특성을 알고, FPGA kit와
    리포트 | 4페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 전전설2 실험2 예비보고서
    FPGA의 장단점 - FPGA는 회로의 직접도가 매우 높아서 수백만 게이트를 포함한다. - FPGA는 PLD와 게이트 어레이의 장점을 결합C) 대용품보다 느리고 복잡한 설계에 적용할 ... 전원을 연결하고 컴퓨터에서 FPGA내부의 look up table에다 내용을 저장하면 저장된 논리표에따라 동작하는것으로 IC를 모의실험 할수 있는 것이 FPGA 이다. ... 차이점 : - FPGA의 용량이 CPLD보다 일반적으로 크다. - CPLD는 비휘발성이고 FPGA는 SRAM 기술을 이용하여 휘발성이다. - CPLD가 PAL보다는 집적도가 높아서
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • 파일확장자 [A+, 에리카] 2021-1학기 논리설계및실험 Verilog HDL 1 실험결과보고서
    실험 목적Verilog HDL을 통해 FPGA를 이용하여 AND gate를 설계한 후 led동작을 확인해본다.Chapter 2. ... 관련 이론ü Verilog HDL과 VHDL- FPGA나 집적회로 등의 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어- IEEE 1364로 표준화되어있으며 회로 설계, 검증,
    리포트 | 4페이지 | 2,500원 | 등록일 2023.02.28
  • 워드파일 [논리회로설계실험]VHDL을 활용한 Calculator 설계
    배경이론(Background) 1)LCD 이전 실습에서와 같이 FPGA의 LCD를 이용한다. ... 1.목적(Purpose) 이번실습은, FPGA의 버튼들을 이용하여, 값을 입력하고, 덧셈과 뺄셈 연산을 하는 계산기를 만들어 보는 실습이다. ... Process(FPGA_RSTB, clk_100k, load_50 ,cnt_50) 만들어진 100Khz 클럭을 기준으로, 50hz의 클럭을 만드는 과정이다.
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • 한글파일 디시설 - 수 정렬회로 설계
    FPGA kit 실험 결과 < 핀 할당 > < 여러 가지 입력에 대한 수의 정렬 > 입력 c, 9 입력 3, 3 입력 f, d 입력 d, 9 - 컴파일, 핀할당을 완료한 후 kit에
    리포트 | 7페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 [서울시립대] A+ 전자전기컴퓨터설계2 2주차(Schemetic)결과레포트(예비레포트포함,시립대)
    이번 2주차 실험에서는 Schemetic을 통해서 회로를 설계한후 FPGA에 적용하는 실험을 하였다. Ⅱ.실험방법 1. ... 전자전기컴퓨터설계실험 2 결과 레포트 실험 제2주 (2021. 10. 12) Lab#02 『Xilinx Spartan3』 FPGA chip, 『ISE』 digital design tool ... 결론 : Schemetic을 통해서 회로를 설계하고 FPGA에서 작동되는 과정을 확인하였다. XILLINX에 대한 이해가 한층 높아?병? Ⅴ.참고문헌 전자전기컴퓨터설계실험2 교안
    리포트 | 10페이지 | 1,000원 | 등록일 2021.12.30 | 수정일 2022.01.03
  • 파일확장자 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU
    Xilinx Artix-7 FPGA에 porting 한다.4. Simulation 되는 VHDL source code를 제출한다.5. ... tool VIVADO로 임시 시뮬레이션 → [FPGA 이용 방법은 기초회로실험_실험 12_P123 참고] Xilinx artix-7 계열 사용, 실행 여부 판단 후 반복, ppt ... 구상 및 틀 짜기, 중간 보고서 제출3주차 : 8-bit ALU - VHDL로 코딩 수정 및 보완, Xilinx FPGA tool VIVADO로 최종 시뮬레이션, 미흡된 부분 고찰
    리포트 | 9페이지 | 3,000원 | 등록일 2022.04.14
  • 파일확장자 두더지 잡기 verilog with BGM ( A+ 프로젝트 결과물, 논리회로실험, 디지털시스템실험 )
    디지털 시스템 실험 ( 논리 설계 실험 ) 최종 프로젝트 과제입니다. 두더지 잡기 게임이며, 게임 시작과 동시에 음악도 재생됩니다. A+ 받았으며 퀄리티 보장합니다.
    리포트 | 1페이지 | 10,000원 | 등록일 2020.11.14 | 수정일 2020.11.19
  • 파일확장자 한양대 Verilog HDL 1
    FPGA나 집적 회로 등의 전자공학 회로를 설계하는 언어로, 회로도를 작성하는 대신 언어적인 형태로 전자 회로의 기능을 구성할 수 있다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.03.21
  • 파일확장자 전자공학과 논리회로실험 A+ 프로젝트 보고서 (VHDL코드포함)
    -FPGA Kit에서 7 segment 모듈과 Keypad 모듈을 이용하여 Digital Lock을 설계한다.
    리포트 | 17페이지 | 3,000원 | 등록일 2020.12.17
  • 한글파일 논리회로설계 실험 기본게이트 설계
    예비 이론 (1) CPLD, FPGA란? ... 실험 목표 CPLD와 FPGA에 대해 알아보고 그의 활용을 알아본다. ... 대부분의 FPGA는 프로그래밍가능 논리 요소 (논리블록)에 간단한 플립플롭이나 더 완벽한 메모리 블록으로 된 메모리 요소를 포함하고 있다. (2) CPLD, FPGA 사용 실례 조사하여
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 워드파일 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    이 회로를 FPGA를 통해 구현한 후, Digital 핀의 할당 STEP 12: FPGA 모듈을 7-segment display와 NI myDAQ에 연결하였다. ... 이 회로 또한 핀을 할당한 뒤, FPGA에 설치하여 동작을 확인해보았다. ... 하지만 이번 실험의 경우, ISE에서 도식으로 그린 회로를 FPGA에 download하여 모듈에 있는 핀과 연결하였기ble 신호로 입력했다.
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 한글파일 디지털시스템실험 2주차 예비보고서
    FPGA 보드를 통한 검증 1. ... 프로그래밍 방법 이해 실험목표 ① FPGA와 Verilog가 무엇인지 이해한다. ② Verilog로 설계한 회로의 동작을 FPGA를 통해 검증한다. ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 설계 및 실험 이름 : 학번 : 실험제목 ① FPGA 및 Verilog의 이해, Verilog를 통한 FPGA
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 한글파일 현대모비스 R&D(연구개발) 합격직 자소서
    IDEC에서 "FPGA를 이용한 Embedded Processor 디자인" 수업을 수강하였습니다. ... FPGA를 사용하여 실습한 경험이 MICOM을 활용한 임베디드 HW설계에 도움이 될 것으로 생각됩니다. ... 또한 FPGA를 사용하여 회로를 설계하고 C언어를 사용해 설계한 회로에서 구동되는 임베디드 SW를 작성한 경험이 있습니다.
    자기소개서 | 1페이지 | 3,000원 | 등록일 2023.10.08
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    FPGA의 이해 - 1985년 미국의 XILINX사에서 세계 최초로 FPGA를 발표하였다. ... FPGA의 단점 - 칩 한 개당 비용이 비싸다. - Size 문제: FPGA에 비해 최적화된 full custom ASIC이 칩의 면적이 작다. ... FPGA의 장점 - 빠르게 시장에 출시할 수 있다.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • 워드파일 [LX세미콘] [R&D digital design] [학사 채용연계형 인턴] 22하 합격 자기소개서
    이를 위해서는 디지털 시스템과 verilog, FPGA 등 개발 tool에 대한 이해가 요구되며, 제가 이러한 역량을 갖춘 지원자입니다. ... 또한, verilog를 활용하여 FSM, digital clock 등 디지털 시스템을 구현하고, 이를 FPGA에서 검증하는 과정을 통해 개발 tool에 대한 이해도를 높였습니다.
    자기소개서 | 3페이지 | 4,500원 | 등록일 2022.12.26 | 수정일 2023.01.06
  • 한글파일 9장 VHDL 설계 툴 사용법 예비
    FPGA나 집적회로 등의 전자공학 회로를 처리하는 설계 자동화에 사용한다. 주로 디지털 회로 설계에 사용된다. ... 이때 FPGA나 ASIC 등을 위한 환경에 따라 합성된 실제회로의 소자가 달라지기 때문에 칩 설계 시 목적에 맞는 소자가 합성되도록 하는 개발도구가 존재한다. ... 예를 들어 FPGA를 판매하는 회사는 보통, 회로 입력(심볼 및 VHDL코드) 부터 시작해서 VHDL 코드의 합성, 모듈(소자)의 배치 등을 지원하는 도구를 지원한다. 나.
    리포트 | 16페이지 | 1,000원 | 등록일 2021.01.06
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업