• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,016)
  • 리포트(794)
  • 자기소개서(209)
  • 시험자료(3)
  • 이력서(3)
  • 논문(2)
  • 서식(2)
  • 방송통신대(2)
  • 표지/속지(1)

바로가기

FPGA 독후감 - FPGA 관련 독후감 1건 제공

"FPGA" 검색결과 41-60 / 1,016건

  • 파일확장자 fpga 4단원 문제풀이 레포트
    썸네일을 참고해주세요.
    리포트 | 3페이지 | 1,000원 | 등록일 2018.12.27
  • 워드파일 FPGA
    y Report 제목 VHDL ALU & SIMULATION 학과 컴퓨터 학과 학번 2004220082 성명 박세훈 담당교수 인 호 교수님 소스코드 library ieee; use ieee.std_logic_1164.all; //필요한 라이브러리들 use ieee.s..
    리포트 | 5페이지 | 1,000원 | 등록일 2010.05.24
  • 파일확장자 fpga 3,6단원 과제 레포트
    리포트 | 4페이지 | 1,000원 | 등록일 2018.12.27
  • 한글파일 [FPGA설계] FPGA와 CPLD
    FPGA와 CPLD 1. FPGA (1) FPGA란? ... 대부분의 FPGA는 프로그래밍가능 논리 요소 (FPGA 식으로는 논리 블록이라고도 함)에 간단한 플립플롭 이나 더 완벽한 메모리 블록으로 된 메모리 요소도 포함하고 있다. ... 응용회로는 적합한 자원을 가지는 FPGA를 반드시 매핑해야한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2012.06.18
  • 한글파일 FPGA(PROM, PAL, PLA, FPGA)
    대부분의 FPGA는 프로그래밍가능 논리 요소 (FPGA 식으로는 논리 블록이라고도 함)에 간단한 플립플롭이나 더 완벽한 메모리 블록으로 된 메모리 요소를 포함하고 있다. ... 응용회로는 적합한 자원을 가지는 FPGA를 반드시 매핑해야한다. ... FPGA의 정의 FPGA(field programmable gate array, 현장 프로그래머블 게이트 어레이)은 프로그래머블 논리 요소와 프로그래밍가능 내부선이 포함된 반도체 소자이다
    리포트 | 2페이지 | 1,000원 | 등록일 2013.03.29 | 수정일 2015.09.30
  • 한글파일 [기초전자회로실험2] "Verilog Basic, FPGA / Shift register - FPGA" 예비보고서
    실험제목 ① Verilog Basic, FPGA ② Shift register - FPGA 2. ... FPGA는 SRAM 타입의 경우 PROM파일만 바꿔주면 부용을 바꿀 수 있음 ? ASIC은 칩을 교체해야 하지만 FPGA는 업데이트로 해결 가능 - FPGA의 단점 ? ... 1 Preliminary report Electronic Engineering 기초전자회로실험 Verilog Basic, FPGA / Shift register - FPGA 자료는
    리포트 | 8페이지 | 1,500원 | 등록일 2019.03.25 | 수정일 2019.03.29
  • 한글파일 [기초전자회로실험2] "Verilog Basic, FPGA / Shift register - FPGA" 결과보고서
    실험제목 ① Verilog Basic, FPGA ② Shift register - FPGA 2. ... 1 Result report Electronic Engineering 기초전자회로실험 Verilog Basic, FPGA / Shift register - FPGA 자료는 실제 실험을 ... 이를 FPGA에 Programing에 앞서 FPGA의 각 스위치 소자와 연결된 번호에 입력 역할을 부여하고 LED에 연결된 번호에 출력 역할을 부여하는 과정에서 필요하지 않은 부분까지
    리포트 | 3페이지 | 1,000원 | 등록일 2019.03.25 | 수정일 2019.04.01
  • 파일확장자 FPGA(field programmable gate array)과 ASIC
    모든 산업에서 FPGA 칩을 채택하는 이유는 FPGA가 ASIC 및 프로세서 기반 시스템에서 최상의 부분을 통합한다는 사실 때문이다. ... 과거에는 디지털 하드웨어 설계에 깊은 지식이 있는 엔지니어들만이 FPGA 기술을 사용할 수 있었다. ... 또한 FPGA는 완벽하게 재구성 가능하며 다른 회로 구성을 재컴파일하면 즉각 새로운 “특성”을 지니게 된다.
    리포트 | 10페이지 | 2,000원 | 등록일 2017.01.02 | 수정일 2017.01.09
  • 한글파일 [FPGA설계] xilinx를 이용하여 FPGA를 설계
    FPGA_RSTB와 FPGA_CLK를 input port, LED를 output port로 entity 선언 합니다. ... RoV-Lab의 이동형 FPGA Robot은 FPGA 모듈, Sensor 모듈, Motor 모듈, RF 모듈로 구성되어 있습니다. ? ... RoV-Lab은 이동형 FPGA Robot과 Base-Board 두가지로 구성되어 있습니다. ?
    리포트 | 4페이지 | 1,500원 | 등록일 2012.06.18
  • 한글파일 Digital Clock 설계-FPGA
    3. 시뮬레이션 파형 설명처음에 파형의 모습을 살펴보면 clr은 1, cnt는 0, load값은 3, num값은 0으로 설정되어 있다. 그 다음 부분을 차례로 살펴보면 4ns 지연된 뒤 clr값은 0으로 값이 변하는 것을 볼 수가 있다. 그 후 6ns 지연된 뒤에 cn..
    리포트 | 10페이지 | 1,000원 | 등록일 2015.03.13
  • 워드파일 FPGA의 이해와 기초
    FPGA와 CPLD가 어떻게 로직을 구성하는지 이해를 하면 FPGA가 어떻게 구성되고 FPGA를 설계하는데 필요한 여러 용어들을 쉽게 이해할 수 있다. ... CPLD와 FPGA 차이점 CPLD와 FPGA의 근본적인 차이는 로직을 구성하는 방법에 차이가 있다. ... FPGA 전원구성 예전의 FPGA는 IC의 전원 핀에 단순히 5V, GND만 연결해 주면 동작하는데 문제가 없었다.
    리포트 | 16페이지 | 2,000원 | 등록일 2012.03.14 | 수정일 2017.02.24
  • 한글파일 FPGA에 대해서
    FPGA란? ... 응용회로는 적합한 자원을 가지는 FPGA를 반드시 매핑해야한다. ... 제조사는 설계이후에 수정할 수 없도록 할당된 덜 유연한 FPGA 버전으로 싸게 팔 수 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2011.03.20
  • 워드파일 FPGA를 이용한 UART 구현
    FPGA의 UART 구성도 PAGEREF _Toc300923157 \h 13 HYPERLINK \l "_Toc300923158" 그림 3. ... FPGA_UART_MODULE PAGEREF _Toc300923160 \h 15 HYPERLINK \l "_Toc300923161" 그림 6. ... FPGA_UART_RX_MODULE PAGEREF _Toc300923161 \h 15 HYPERLINK \l "_Toc300923162" 그림 7.
    리포트 | 16페이지 | 3,000원 | 등록일 2012.03.14 | 수정일 2017.02.24
  • 워드파일 FPGA 트레이닝키트 사용 (결과보고서)
    대부분의 FPGA는 프로그래밍가능 논리 요소 (FPGA 식으로는 논리 블록이라고도 함)에 간단한 플립플롭이나 더 완벽한 메모리 블록으로 된 메모리 요소를 포함하고 있다. ... 제조사는 설계 이후에 수정할 수 없도록 할당된 덜 유연한 FPGA 버전으로 싸게 팔 수 있다. ... 이런 설계개발은 일반적인 FPGA에서 만들었고 좀 더 ASIC와 비슷한 고정된 버전으로 변경되었다.
    리포트 | 8페이지 | 1,000원 | 등록일 2015.08.25
  • 파일확장자 FPGA를 이용한 게임
    Verilog HDL, FPGA Board를 이용한 EZ2DJ 게임 프로그래밍
    리포트 | 3,000원 | 등록일 2010.05.26
  • 한글파일 FPGA를 이용한 디지털 시계
    CONSTANT LCD_S_r : std_logic_vector(7 downto 0) := "01110010"; CONSTANT LCD_S_t : std_logic_vector(7 downto 0) := "01110100";
    리포트 | 1페이지 | 1,000원 | 등록일 2011.12.22
  • 워드파일 디지털 시스템 실험 FPGA 및 Verilog의 이해, Verilog를 통한 FPGA 프로그래밍 방법 이해 결과보고서
    디지털 시스템 설계 및 실험 결과 보고서 작성자: 실험조:실험일: 실험제목: FPGA 및 Verilog의 이해, Verilog를 통한 FPGA 프로그래밍 방법 이해 실험목표: FPGA와 ... Verilog로 설계한 회로의 동작을 FPGA를 통해 검증한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2016.04.08
  • 파워포인트파일 FPGA설계 - DE2보드 사용 버스 하차 시스템
    버스 하차 시스템 최종 발표 1 조 목차 1. 작품 목적 ( 설명 ) 2. 블록도 (RTL Viewer 세부 회로도 ) 3. 소스 코드 4. 부품 5. 작품 동작 . 6 . 응용분야 7. 검토 및 고찰 8 . 참고문헌 1 . 작품 목적 ( 설명 ) 버스 하차 시스템 -..
    리포트 | 13페이지 | 2,000원 | 등록일 2016.12.24 | 수정일 2018.02.09
  • 한글파일 [기초전자회로실험2] "FPGA Board를 이용한 FSM 회로의 구현" 결과보고서
    고찰 FPGA의 사용과 프로그램에 앞서 FPGA의 기능을 활용한 시뮬레이션를 기반으로 실험을 시작하였다. ... 실험제목 FPGA Board를 이용한 FSM 회로의 구현 2. ... 앞서 시뮬레이션을 통해 확인한 4bit Up-Counter을 FPGA보드에 프로그래밍 하는 과정에서 Countering 되는 과정을 차근차근 하나씩 확인하기 위해 FPGA의 보드 파일에
    리포트 | 4페이지 | 1,000원 | 등록일 2019.03.27 | 수정일 2019.04.01
  • 한글파일 [기초전자회로실험2] "MOORE & MEALY MACHINE - FPGA" 예비보고서
    M ② Study the MOORE & MEALY MACHINE ③ Experiment the MOORE & MEALY MACHINE, FPGA FPGA (Field-Programmable ... 실험제목 ① MOORE & MEALY MACHINE - FPGA 2. 실험목적 ① Study the F. S. ... 1 Preliminary report Electronic Engineering 기초전자회로실험 MOORE & MEALY MACHINE - FPGA 자료는 실제 실험을 바탕으로 작성되었으며
    리포트 | 7페이지 | 1,500원 | 등록일 2019.03.27 | 수정일 2019.03.29
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업