• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(832)
  • 리포트(808)
  • 시험자료(15)
  • 자기소개서(7)
  • 논문(1)
  • 방송통신대(1)

"D flip-flop" 검색결과 1-20 / 832건

  • 워드파일 Flip-Flop과 Latch [플립플롭과 래치] D Latch에서 Flip-Flop까지
    D Latch D Flip-Flop 디자인 Flip-Flop의 Setup Time과 Hold Time JK Flip-Flop과 T Flip-Flop D Latch D Latch는 두 ... Hold Time JK Flip-Flop과 T Flip-Flop 지금까지 디자인한 D Flip-Flop은 CLK rising edge에서 D가 1이면 Q를 1로 바꾸고, D가 0이면 ... 이러한 동작을 하는 JK Flip-FlopD Flip-Flop을 이용해 만들 수 있다.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.08.26
  • 한글파일 D-latch,D flip-flop,J-K flip-flop 결과레포트
    실험 제목 [D-latch , D flip-flop , J-K flip-flop] 2. ... 고찰 이번 실험은 xor gate, d flip-flop, j-k flip-flop을 이용하여 회로를 구성하고 비동기 요소인 preset과 clear에 따라서 어떻게 결과 값이 변하는지 ... 레벨 트리거는 상태 변수의 현재 상황을 기준으로 동작한다. d flip-flop은 edge-trigger를 하는 기억소자이다.
    리포트 | 2페이지 | 2,000원 | 등록일 2022.08.22
  • 한글파일 D-latch,D-flip-flop,J-K-flip-flop 예비레포트
    실험 제목 [D-latch and D Flip-Flop J-K Flip-Flop] 2. ... 실험 장비 -7474 dual D flip-flop -7404 hex inverter -7486 quad XOR -7476 dual J-K flip-flop 4. ... -d flip-flop Clock enable D 플립플롭은 클럭 입력에 반응하여 출력의 상태를 바꾸는 기억 소자이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.21
  • 워드파일 D Flip-Flop을 활용한 십진 감가산기
    각각의 클럭을 2개의 D Flip-flop에 연결하여 Positive Edge에 작동하는 D Flip-Flop 과 Negative Edge에 작동하는 D Flip-Flop을 만들어 ... D Flop-Flop / Negative Edge D Flip-flop = 입력저장 계산 - 1차 가,감산-> 가산 예외처리(sum>9 : +0110) , 감산 예외처리(sub 7447 ... 설계 요구 사항 분석 대분류 : 입력 모듈, 계산 모듈, 출력 모듈 중분류 : 입력(입력->Positive Edge D Flop-Flop / 입력2->Negative Edge D Flip-flop
    리포트 | 13페이지 | 3,500원 | 등록일 2022.05.01
  • 한글파일 디지털 회로 실험 및 설계 - JK Flip Flop, D, T Flip Flop 실험 1
    디지털회로실험및설계 예비 보고서 #3 ( JK Flip-Flop 실험, D, T Flip-Flop 실험 ) 과 목 담당교수 제 출 일 학 번 이 름 1. ... D,T Flip-Flop 실험 실험1) 다음 회로도를 구성하고, 표를 완성하시오. ... D 플립플롭 - 플립플롭(Flip Flop)은 전원이 공급되면 1 또는 0의 출력이 유지되는 디지털 회로이다.
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 한글파일 디지털 회로 실험 및 설계 - JK Flip Flop, D, T Flip Flop 실험 2
    디지털회로실험및설계 결과 보고서 #3 ( JK Flip-Flop 실험, D, T Flip-Flop 실험 ) 과 목 담당교수 제 출 일 학 번 이 름 ? ... (D, T F.F 실험) - 이론값 D Q Q' 0 0 1 1 1 0 0 0 1 1 1 0 - 실험결과 D=0, Q=0 D=0, Q'=1 D=1, Q=1 D=1, Q'=0 D Q Q측정값 ... 0 0.15V 결과분석 - D 플립플롭은 이론상, D = 1일 때 Q = 1이 되고, D = 0 이면 Q = 0 이 된다.
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 한글파일 sr latch,D,T flip-flop 예비레포트
    m_temp1=4750 5. simulation -sr latch d flip flop -T FLIP FLOP 6. 참고 문헌 각주 ... 실험 제목 [SR Latch, D Flip Flop, T Flip Flop] 2. ... m_temp1=4234 -d flip flop delay flipflop은 입력 d를 그대로 출력한다. d플립플롭은 rs플립플롭의 변형으로 s와 r을 inverter 로 연결하여 입력에
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.21
  • 파워포인트파일 기초전자회로실험1 12주차_RS Flip-FlopD Flip-Flop 예렙
    의해 동작하는 Flip-Flop 으로 동기식 RS Flip-Flop 이라고 한다 . 3.D Flip-Flop D Flip-Flop 은 RS Flip-Flop 의 개량된 Flip-Flop ... RS Flip-FlopD Flip-Flop 실험 목표 - 동기식과 비동기식 Flip-Flop 의 기본개념과 동작원리를 이해할 수 있다 . ... -RS Latch,RS Flip-FlopD Flip-Flop 의 차이점을 이해하고 각 Flip-Flop 의 특징을 설명할 수 있다 .
    리포트 | 6페이지 | 1,500원 | 등록일 2020.10.07 | 수정일 2022.03.28
  • 한글파일 디지털집적회로 D Flip-Flop 설계도 및 시뮬레이션 결과
    Rising-edge triggered D-Flip Flop (a) Functionality of D-FF TI I1 T2 I2 I4 I3 Figure 1 Schematic of rising-edge ... Figure 5 Schematic of rising-edge triggered D-Flip Flop Table 3 Input parameters of pulse D CLK RESET ... triggered D-Flip Flop Table 1 Input parameters of pulse D CLK RESET Period 10ns 5ns 20ns Initial Delay
    리포트 | 4페이지 | 2,000원 | 등록일 2023.01.30
  • 워드파일 기초회로실험1 Lab 14 D Flip-Flop Report
    목적 D Flip-Flop circuit을 구성하고 논리식을 보인다. 2. ... D Flip-Flop은 falling edge가 아닌 rising edge에 의해 반응한다. ... 몇 개의 칩과 logic unit을 이용한 실험에서, D Flip-Flop 회로를 구성하여 논리식을 보였다.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.08.01
  • 한글파일 디지털논리회로실험(Verilog HDL) - SR Latch, Level-Sensitive D-latch, D Flip-Flop
    D Flip-Flop -Latch is level-sensitive: stores D when c =1 -Flip-flop is edge triggered: stores D when ... triggered D flip-flop, and a negative-edge triggered D flip-flop. (2) Process ? ... D Flip-Flop -Flip-flop: Bit storage that stores on clock edge -One design (master ?
    리포트 | 12페이지 | 1,000원 | 등록일 2019.08.29
  • 한글파일 [기초회로실험]D Flip-flop의 설계
    D Flip-flop의 설계 1. 실험 목적 가. ... D flip-flop은 clear와 preset 압력을 가지는 D flip-flop을 말한다. clear은 ClrN으로,preset은 PreN으로 기호를 달리 쓰기도 한다. ... Logic Lab Unit과 Electronic Logic Gate들을 이용하여 D Flip-flop를 설계하고 설계 후 디지털 회로의 결과를 알아본다. 2.
    리포트 | 3페이지 | 1,500원 | 등록일 2019.03.31 | 수정일 2020.08.06
  • 한글파일 [기초전자회로실험2] "D latch and D flip-flop / J-K flip-flop" 결과보고서
    실험제목 ① D latch and D flip-flop ② J-K flip-flop 2. ... 실험결과 D flip-flop [그림 15-7] D latch and D flip-flop의 차이점 ? ... 실험사진 D flip-flop [그림 15-7] J-K flip-flop [그림 17-2(b)] 3.
    리포트 | 4페이지 | 1,000원 | 등록일 2019.03.25 | 수정일 2019.04.01
  • 한글파일 [기초전자회로실험1] "D latch and D flip-flop, J-K flip-flop" 예비보고서
    실험제목 ① D latch and D flip-flop ② J-K flip-flop 2. ... 1 Preliminary report Electronic Engineering 기초전자회로실험1 D latch and D flip-flop J-K flip-flop 자료는 실제 실험을 ... 실험장비 및 부품 7474 dual D Flip-Flop 7476 dual J-K Flip-Flop 4. 관련이론 래치와 플립 플롭은 정보를 저장하는 기본 요소이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2019.03.20 | 수정일 2019.03.29
  • 워드파일 [기초회로실험 보고서]D flip-flop 결과보고서
    D flip-flop D flip-flop(D-FF)은 하나의 입력 단자가 있고 Hyperlink "http://terms.naver.com/entry.nhn? ... 기초회로실험I 결과보고서 D flip-flop 서론 . ... 이때 edge, 즉 clock pulse가 0에서 1로 바뀌는 에지 상에서 전달이 발생되는 D flip-flop을 Positive Edge Triggered D flip-flop이라고
    리포트 | 5페이지 | 1,000원 | 등록일 2018.05.18
  • 워드파일 [기초회로실험 보고서] D flip-flop 예비보고서
    Positive Edge Triggered D flip-flop은 Clock pulse의 rising edge에서만 입력 데이터를 받아들여 출력 상태를 바꾸거나 유지하는 순서논리회로이다
    리포트 | 1페이지 | 1,000원 | 등록일 2018.05.18
  • 한글파일 5주차 결과 보고서 D Flip-Flop
    설계의 입출력 선언port( d : in std_logic;clk : in std_logic;q , qb : out std_logic);-- D flip-flop에 사용되는 Input ... d , clk 는 1bit signal을 가지고 Outputq , qb 또한 1but signal을 가지므로 std_logic 형태를 선언한다.-- d는 입력되는 데이터값 , clk는 ... ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity dff is --
    리포트 | 7페이지 | 1,000원 | 등록일 2014.03.26
  • 한글파일 SR, D, T Flip-flop 구현
    D Flip-Flop (1) State Table Present State Input Next State Flip-Flop Inputs A B X A B DA DB 0 0 0 0 0 ... SR Flip-flop (1) State table Present State Input Next State Flip-Flop Inputs A B X A B SA RA SB RB 0 ... T Flip-Flop (1) State Table Present State Input Next State Flip-Flop Inputs A B X A B TA TB 0 0 0 0 0
    리포트 | 3페이지 | 1,000원 | 등록일 2011.11.13
  • 한글파일 D와 JK 플립플롭 실험 레포트(D and JK Flip-flop)
    이론 (1) D 플립플롭(D Flip Flop) D 플립플롭은 RS 플립플롭에 약간의 변형을 가한 것으로 데이터 플립플롭(Data Flip Flop) 이라고도 한다. ... 실험 Ⅰ-5. D 및 JK 플립플롭 (D and JK flip flop) 목적 D, T, JK 플립플롭의 동작원리를 살펴보고 측정을 통하여 그 특성을 확인한다. ... (a)(b) (c)D Q_{ n+1} 0 0 1 1 (그림 1) D 플립플롭 (2) T 플립플롭(T Flip Flop) T 플립플롭은 토글 플립플롭(Toggle Flip Flop)
    리포트 | 7페이지 | 1,500원 | 등록일 2013.11.20
  • 한글파일 D flip-flop 결과 보고서
    Triggered D flip-flop 은 clear 와 preset 입력을 가지는 D flip-flop을 말한다. ... 기초회로실험 결과보고서 < D flip-flop > * 실험목적 실험을 통해 Preset 과 Clear, Clock 이 있는 D flip-flop 의 원리를 알아본다. * 관련이론 ... Edge Triggered D flip-flop 에서 Clear와 Preset 은 Clock이나 D입력에 우선한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2010.11.17
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업