• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(213)
  • 리포트(197)
  • 시험자료(15)
  • 방송통신대(1)

"BCD 가산기" 검색결과 1-20 / 213건

  • 한글파일 BCD 가산기 설계 결과보고서
    설계는 두 입력을 4비트 가산기로 더한 후, 결과를 다시 BCD로 변환하는 과정을 구성한다. 2. 설계된 BCD 가산기를 컴파일, 시물레이션하라. ... 디지털시스템 설계 실습 7주차 결과보고서 학과 전자공학과 학년 3 학번 성명 ※BCD 가산기 설계 1. ... 1 2 13(0XD) 1 3 14(0XE) 1 4 15(0XF) 1 5 16(0X10) 1 6 17(0X11) 1 7 18(0X12) 1 8 [표 3-31] 연습문제 1. 4비트 가산기
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 한글파일 디시설 - 4비트 가산감산기 , BCD 가산기
    결과 보고서 ( 4비트 가산/감산기 , BCD 가산기 ) 제목 4비트 가산/감산기 , BCD 가산기 실습 목적 BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다 ... 이번 실험에서 설계한 BCD 가산기의 HEX-to-BCD 과정에서 입력이 각각 9이하의 값들의 합에만 의미가 있음을 알 수 있었다. ... BCD 가산기에서 두 입력이 다음과 같을 때, 16진수 중간 덧셈 결과와 중간 결과가 BCD로 편환된 값을 시뮬레이션으로 나타내라. a b 중간 덧셈 결과(HEX) BCD “0111
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    논리회로설계실험 프로젝트 #1 BCD to 7 segment 가산기 1. ... 아니면 carry를 0으로 놓고 그 값을 그대로 저장한다. bcd 가산기에서는 십의 자리수와 일의 자리수의 계산을 한자리수 가산기를 사용하여 계산한다. ... 먼저 한자리 수 가산기를 작성하였는데, 그에 해당되는 bcd는 4bit 2진수이다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 시뮬레이션pspice (NOR AMD 게이트, 7-세그먼트,4비트 병렬가산기, 두자리 BCD 가산기, 2진 하진 DA 변환기, ADC0804를 이용한 AD 변환), Timer 소자 회로 실험, 두자리 BCD 가산기
    결국, 십의 자리는 6 일의 자리는 3을 출력한다. ① 실험 117 < 2진 하진 DA 변환기 > ② 실험 120 < ADC0804를 이용한 AD 변환 > < Timer 소자 회로
    리포트 | 9페이지 | 2,000원 | 등록일 2019.06.23
  • 한글파일 설계과제1 BCD 가산기
    또한 BCD 가산기의 작동 원리에 대하여 알아본다. ... 논리회로설계 실험 설계과제 보고서 #1 BCD 가산기 1. ... 이로써 설계한 BCD 가산기가 정상적으로 동작함을 확인할 수 있다. (2)조원2의 고찰 8비트 2진수로 두 자리 10진수 연산을 하는 BCD 가산기를 설계하기 위하여 우선 BCD 가산
    리포트 | 11페이지 | 5,000원 | 등록일 2018.01.10
  • 한글파일 4비트 병렬 가감산기, BCD 가산기
    BCD adder 서론 학습목표 ? 반가산기, 전가산기의 동작을 이해하고 설계하는 방법을 알아본다. ? 이를 바탕으로 병렬 가?감산기를 설계하고 동작 특성을 이해한다. ? ... BCD 가산기 BCD 코드의 경우 2진수와 달리 표현 범위가 0에서 9까지 이다. 그러므로 BCD 계산의 경우 (10~19)의 범위일 때 결과 값을 보정해 주어야 한다. ... 병렬가감산기 전가산기들을 병렬로 연결하여 여러 비트의 가산기를 만들 수 있으며 이것을 병렬가산기라 한다.
    리포트 | 7페이지 | 2,500원 | 등록일 2015.12.10
  • 한글파일 가산기BCD가산기 설계
    실험명 전가산기BCD 가산기를 설계하라. 1. 명제 7483과 AND, OR, XOR 게이트를 사용하여 전가산기BCD 가산기를 설계한다. 2. ... BCD가산기를 논리 게이트 회로를 구성 하여 시뮬레이션을 하였는데, BCD 가산기의 경우 가산 결과 값이 0~9일때의 결과는 그대로 가산 결과가 되고, 10~15일때는 BCD가산기는 ... Quaturs Ⅱ 7. 1 Web Edition를 사용하여 BCD 가산기를 설계 하기전에 전가산기 논리회로를 시뮬레이션 한 결과 전가산기의 진리표와 같이 출력 파형 결과 값이 동일
    시험자료 | 6페이지 | 2,000원 | 등록일 2012.04.25
  • 한글파일 BCD 가산기 (7483, 전가산기 두가지방법) 쿼터스
    명 제 Quartus tool을 이용하여 전가산기와 8421 가산기(BCD가산기)를 설계하라. 2. ... 설계 순서 1) Quartus tool을 이용하여 전가산기를 설계 2) 전가산기 Simulation 파형 동작확인 2) 전가산기 회로를 이용하여 8421 가산기 설계 3) BCD 가산기 ... 전가산기를 여러 개를 엮어서 BCD 가산기를 설계할 수 있는데 낮은 자리의 전가산기에서 출력 캐리를 받아서 다음 전가산기의 입력 캐리로 넣어주어야 가산이 된다.
    리포트 | 14페이지 | 3,000원 | 등록일 2011.12.03 | 수정일 2021.04.11
  • 한글파일 VHDL - 4bit 가산기를 이용한 BCD adder, 4bit 가산기를 이용하지않은 BCD adder
    4bit 가산기를 이용한 BCD adder 소스 분석 우선 4bit가산기를 이용하여 두 개의 입력값(a,b)을 더하게 됩니다. process문을 이용하여더한값이 ... 그리고, 첫 번째 자리의 BCD값은 4bit 가산기를 이용하여 6을 더한 값을 넣게 됩니다. 9가 넘는숫자라면 6을 더하게 되면 4bit가산기에서는 최대 표현가능 숫자가 15까지 이기 ... 발생하는 carry는 버리고, 남은자리만 표현하게 구현하였습니다. 4bit가산기를 이용하지 않는 BCD 가산기 소스 분석 4bit가산기를 이용하지 않고
    리포트 | 3페이지 | 3,500원 | 등록일 2011.11.24
  • 파일확장자 쿼터스를 이용한 전가산기와 8421 가산기(BCD가산기)를 설계 보고서
    동작원리1) 전가산기가산기(Full Adder)는 캐리입력까지도 취급할 수 있는 가산기 즉, 3자리의 2진수를 가산할 수 있는 가산기이다.2) BCD 가산기2진화 10진수는 4비트로 ... 설계 순서1) Quartus tool을 이용하여 전가산기를 설계2) 전가산기 Simulation 파형 동작확인2) 전가산기 회로를 이용하여 8421 가산기 설계3) BCD 가산기 Simulation ... 목 적1) 전가산기가산 원리를 이해하고 논리게이트를 써서 설계한다.2) BCD 가산기가산 원리를 이해하고 논리게이트를 써서 설계한다.3.
    리포트 | 9페이지 | 1,000원 | 등록일 2011.06.21 | 수정일 2015.12.26
  • 파일확장자 8421(BCD) 가산기 설계 제안서 및 설계 결과 보고서
    - 4bit 2진 전가산기 소자인 74LS87을 이용하여 8421(BCD) 가산기를 설계한다. - 74LS87소자는 4bit 2진 전가산기 소자이다. ... 전가산기(Full Adder) - 전가산기는 3개의 입력 비트들의 합을 계산하는 조합회로로 전가산기는 3개의 입력과 2개의 출력으로 구성된다. ... 전가산기의 진리표는 다음과 같다.
    리포트 | 14페이지 | 2,000원 | 등록일 2012.07.17
  • 파워포인트파일 BCD 코드를 이용한 10진 가산기
    BCD 코드를 이용한 10진 가산기 가산기 (반가산기) Digital design May 6. 2008 Chonnam Uiv Electronics - 1비트의 2개 2진수를 더하는 ... 함 10이상의 값에 6이 더해지면 → 캐리 생성 BCD 가산기 (보정) Digital design May 6. 2008 Chonnam이 9초과 : 6 + 7 경우 BCD 가산기 (회로 ... Uiv Electronics 2개의 BCD숫자를 병렬로 합산하여 역시 BCD로 합의 숫자를 생성하는 회로 BCD 코드 BCD의 0~9까지의 수 = 2진수 병렬가산기의 입력 BCD
    리포트 | 33페이지 | 3,000원 | 등록일 2008.10.05
  • 한글파일 가산기,전가산기,가감산기,BCD인코더및디코더
    1.반가산기 두 개의 2진수 A와 B를 더하여, 합 S와 자리올림 C를 출력하는 조합 논리회로 ? ... 논리회로 5.BCD 디코더 2진 코드 형태의 입력을 받아들여 일상적으로 사용하는 10진수 등의 형태 로 변환하여 출력해주는 장치로 ‘복호기’, ‘해독기’라고 한다. ... 논리회로 2.전가산기 세 개의 입력 단자와 두 개의 출력 단자를 갖고, 입력 신호의 합과 자 리 올림수를 출력 신호로 나타내는 논리 회로 A B C S Cn 0 0 0 0 0 0 0
    리포트 | 5페이지 | 3,000원 | 등록일 2009.09.27 | 수정일 2021.10.11
  • 한글파일 [가산기레포트]총정리 리포트(전가산기,반가산기,이진병렬가산기,BCD가산기)
    가 산 기 목차 : 1. 반가산기 2. 전가산기 3. 이진병렬가산기 4. BCD가산기 덧셈, 뺄셈, 곱셈, 나눗셈 등의 산술연산을 하기 위해 자주 계산기를 사용한다. ... 이 표를 잘 살펴보면 이진병렬가산기의 출력 값이 10 보다 작을 때는 이진병렬가산기의 출력과 BCD 코드가 동일하며, 이진병렬가산기의 출력 값이 10 이상일 경우에는 이진병렬가산기의 ... 만일 이 이진병렬가산기의 입력에 각각 BCD 숫자를 입력하면 출력 값은 입력되는 BCD숫자가 각각 10진수로 0부터 9까지의 수임을 감안할 때 이진병렬가산기의 출력 값은 0+0=0부터
    리포트 | 6페이지 | 1,000원 | 등록일 2007.11.06 | 수정일 2018.10.17
  • 워드파일 가산기 겸 전감산기, 2의 보수 로직, 16진수-BCD코드 변환, 16진수-ASCII코드, 블록문이 있는 네스티드 if문 설계
    통신회로 및 실습 과제 [4] 전가산기 겸 전감산기, 2의 보수 로직, 16진수-BCD코드 변환, 16진수-ASCII코드, 블록문이 있는 네스티드 if문 설계 정보통신공학과 2010160101 ... 전가산기 겸 전감산기는 SEL변수를 추가하여 0일 때 전가산기 1일 때 전감산기 역할을 하는 회로를 구성하는 것이었고, 2의 보수 로직은 산술연산자를 사용하여 1의 보수를 취한 다음 ... 윤희진 2013.04.30 1.소스 작성 - Verilog Module -Synthesize – XST -Verilog Test Fixture -Behavioral
    리포트 | 8페이지 | 3,000원 | 등록일 2014.07.11
  • 한글파일 논리회로설계실험 BCD가산기 레포트
    가산기를 만든다. 2) 설계 목표 입력 받은 2개의 2자리 10진수를 BCD 가산기로 받아서 계산하고, 계산 결과를 3자리 10진수의 형태로 7 segment를 통해서 출력하는 BCD ... 논리식을 이용해 segment 각각에 값을 넣는다. 4) main문에서 BCD 가산기BCD to 7-segment를 불러온다. 5) Simulation을 이용해 BCD 가산기를 ... 가산기를 설계한다. 2.
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 워드파일 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    그러나 X+Y>9가 된다면 결과는 두개의 BCD수를 필요로 한다. 게다가 4비트 가산기로 얻은 4비트 합은 아마 부정확하게 된다. 수정이 필요한 경우는 두가지가 있다. ... 디지털 논리회로의 응용 – 가산기/비교기/멀티플렉서/디멀티플렉서 실험 목표 비교기의 원리를 이해하고 이를 응용한 회로를 구성할 수 있다. 디코더와 인코더의 원리를 이해한다. ... 이 회로에서 7485는 비교기로 7483A는 가산기로 작용한다. 7485의 B값은 1001(2)로 9이고 7485는 A>B인 경우 출력값 1을 가지게 된다.
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 파일확장자 BCD adder
    BCD adder (1)BCD(Binary-Coded-Decimal).10진수를 8-4-2-1의 자리 값을 가진 2진수로 표현BCD adder.BCD로 표현 된 2진 가산기.2진 가산만을 ... 진행할 경우 두 수의 합이 9를 초과하면 BCD sum ≠ Binary Sum⇒9를 초과하는 경우 6을 더해서 해결BCD adder (2)
    리포트 | 9페이지 | 1,000원 | 등록일 2021.07.16
  • 한글파일 디지털회로실험 텀프로젝트 3비트 가산기를 이용한 7세그먼트 디스플레이
    가산기 가산기에는 반가산기와 전가산기의 두 종류가 있다. 그리고 두 개의 반가산기를 이용하여 전가산기를 구성할 수 있다. 1.1.1. ... [그림 1] 반 가산기의 진리표와 회로도[그림 2] XOR에 의한 반가산기 1.1.2 전가산기 컴퓨터 연산에서 반가산기로는 두 비트 이상의 2진수를 가산을 하는데 불충분하다. ... BCD/10진수 디코더 BCD/7-세그먼트 디코더 드라이버는 입력받은 BCD 코드로 7-세그먼트 디스플레이 소자의 적정 요소를 on 시켜, 0에서 9까지의 숫자를 표시하는 장치이다.
    리포트 | 9페이지 | 10,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • 워드파일 BCD가산기 verilog 설계
    제목 BCD 가산기 설계 실습 목적 BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다. ... 실습 내용 실습결과 Verilog설계 - BCD 가산기의 Verilog 코드 기술 BCD_ADDER tb_BCD_ADDER module BCD_ADDER(A,B,C,RESULT); ... 이 실습에서는 BCD로 입력되는 두 수를 더한 2진 결과를 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습한다.
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업