• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(26)
  • 리포트(23)
  • 자기소개서(3)

"7 segment 실습 vhdl" 검색결과 1-20 / 26건

  • 파일확장자 FPGA 16스위치에서 7세그먼트출력 & 4비트가산기에서 7비트세그먼트출력
    5bit가 나오는 4bits 가산기(스위치입력)의 5개 7-segments 출력이 나 온 파형이다. ... 핀플래너에 입력값에 대한 핀번호는 교수님께서 올려주셔서 그대로 대입했지만 출력값에 대한 핀번호는 가르쳐주시지 않으셔서 임의로 seven segment digit에서 y0에 ... 사용해서 변환시켜서 대입해서 나온 파형이다.DE2보드 실습결과스위치 맨 첫 번째가 0이라고 했을 때 첫 번째 사진을 보면 2번째 스위치를 켰기 때문에 1이란 숫자가 나왔고 마찬가지로
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 워드파일 [논리회로설계실험]VHDL을 활용한 CLOCK설계
    아래 그림은 실습시 이용한 FPGA이고, 상단에 7segment 6자리로 구성된 display가 있다. 그림1. ... 배경이론(Background) 1)digital clock FPGA를 이용하여 실습하고, 이 과정에서 7segment를 이용하여 숫자를 나타내게 된다. ... 실습에서 이용한 Rov-Lab3000에는 다양한 기능들을 구현할 수 있도록 해두었는데, LED display, 7segment, 모터, RF신호, line tracer등을 만들 수
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 한글파일 논리회로설계실험 스탑와치(stopwatch) 레포트
    지금까지 배웠던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다. 2) 설계 목표 VHDL을 이용하여 스탑워치를 만든다. ... 또한, 7개의 조명조각에 모두 통전(通電)하면 숫자 8이 표현된다. 0~9의 수에 따른 7 segment에서 빛이 켜지는 위치는 아래의 표에 나타내었다. 7-segment에는 2종류가 ... 표시방법 7 segment 장치는 7개의 조명조각으로 숫자나 문자를 나타낼 수 있다.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 워드파일 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    Vhdl를 이용한 코드 출력 결과 FND Decoder FND Decoder의 정의 FND 란 Flexible Numeric Display 의 약자로서 7세그먼트 표시장치(Seven-segment ... 실습 결과 DE2 보드를 이용한 결과 및 문제점의 해결방안 처음 DE2 보드에 sof 파일을 넣었을 때, 시간은 제대로 흘렀으나 시간을 멈출 때 마다 초, 분, 시간에 +1이 되는 ... FND는 7개의 획으로 구성되어 있으며, 숫자나 문자를 나타낼 수 있다.
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • 워드파일 [논리회로설계실험]VHDL을 활용한 LCD설계
    이전 실습이었던, 7segment를 조작하는 방법과 같이 Process 여러개를 이용하여, 클럭값과, LCD의 각 값을 조절한다. ... 설정 Lcd의 state를 설정하는 process로, 실습에서는 43개의 state를 이용한다. ... 1.목적(Purpose) 이번실습은 FPGA의 LCD를 조작하는 실습이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.26
  • 한글파일 논리회로설계실험 BCD가산기 레포트
    이번 실습을 통해서 느낄 수 있었던 것은 VHDL문법적 지식을 많이 아는 것도 중요하지만, 관련된 이론들을 완벽히 숙지하고, 이것을 토대로 가장 효율적인 코드를 생각해보는 것이 코드를 ... 작성함에 있어 가장 중요하다는 것을 깨닫게 되었다. (2) 이번 설계 프로젝트에서는 그동안의 실습 동안 익힌 지식들을 총동원하여 두 자리 수의 덧셈을 하고 7 segment에 10진수의 ... 7 segment는 10진수 숫자를 7비트 코드로 나타낼 수 있다.
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 한글파일 디시설 - 7-세그먼트 디코더 설계
    실습 내용 실습 결과 VHDL 코드 - VHDL 코드 주요 동작부분 해석 ① 18행의 rising_edge(clk)는 클럭의 상승 에지가 발생하는 것을 검사해 에지에 동기시키고 값을 ... 참고문헌 양영일, 『VHDL을 이용한 디지털 논리회로 설계』, 미래컴(2010) 노승환, 『디지털 시스템 설계 및 실습』, 한빛아카데미(2017) ... 결과 보고서 ( 7-세그먼트 디코더 설계 ) 제목 7-세그먼트 디코더 설계 실습 목적 하나의 7-세그먼트 FND는 한 자리의 16진수를 출력할 수 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2019.07.20
  • 워드파일 2017년 상반기 LG CNS SI/SM 직무 합격 자기소개서 자소서
    ‘프로그래밍에 대한 지속적인 관심’ 프로그래밍에 대한 관심은 학부 2학년에 논리회로설계 과목을 수강할 때, VHDL을 사용하여 다양한 실습을 하면서 시작되었습니다. 7 segment를 ... stack 메모리를 사용하지 않고 어레이를 사용하는 다양한 실습, 구조체 실습 등을 노력하는 자세로 끈기 있게 매주 헤쳐나가고 있습니다. ... 그 후, 교환학생으로 네덜란드 fontys 대학에서 공부할 때, Software Design이라는 수업을 들으며 C++의 기초를 배웠고 아두이노를 이용해 암호판독기, skin touch
    자기소개서 | 2페이지 | 3,000원 | 등록일 2017.07.19
  • 워드파일 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Post
    Reference 교안 – Verilog HDL 실습 Lab#08 Application Design @ 7-segment and Piezo Control, 서울시립대학교. ... Application Design 7-segment and Piezo Control 실험 날짜 2016. 11.07 학번 이름 Professor 조교 Expected Results ... Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 워드파일 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Pre
    Reference 교안 – Verilog HDL 실습 Lab#08 Application Design @ 7-segment and Piezo Control, 서울시립대학교. ... Application Design 7-segment and Piezo Control 실험 날짜 2016. 11.07 학번 이름 Professor 조교 Introduce Object ... Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 한글파일 4차선 신호등 제어기 설계를 위한 VHDL 코드 및 결과보고서
    out std_logic_vector(7 downto 0);--키트의 8개의 7-segment 중 하나의 7-segment에만 출력이 된다.red : out std_logic_vector ... 실습 내용 :(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all ... 실습 목적 : 앞서 배운 코드에 대한 이해와 순차회로 및 클럭의 역할을 공부함으로써 특정 주기로 LED와 7-SEGMENT를 제어하는 4차선 신호등 제어기를 설계함으로써 그 내용들을
    리포트 | 12페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • 한글파일 순차회로 설계 - 카운터 결과보고서
    실험 결과 - 실험 1. 4비트 비동기식 업카운터 설계 (1) 소스 코드 - 변수 Y에 2진법으로 0~9까지 오름차순으로 값이 카운팅되면 해당하는 수를 1개의 7 segment로 출력하는 ... 실험 목표 - 카운터의 종류와 각각의 기능에 대해서 이해를 하고, 이해한 내용을 바탕으로 VHDL 코딩 실습을 한다. ... segment에 0부터 9에 해당하는 출력이 반복적으로 나타났다. - 실험 2. 8비트 존슨 카운터 설계 (1) 소스 코드 - 8비트짜리 존슨 카운터로 카운팅 할 때마다 MSB의 값을
    리포트 | 6페이지 | 1,000원 | 등록일 2014.07.25
  • 한글파일 08 논리회로설계실험 결과보고서(카운터)
    변수 number 값이 카운터가 1증가 할때마다 Y값이 7segment 해당 출력 8비트 값으로 바뀐다. Y 값은 핀 할당에 따라 7segment의 한 획과 연결 되어있다. ... Y값이 변화하면서 7segment의 표시 값이 0.5초의 주기로 바뀐다. ... 논리회로설계 실험 결과보고서 #8 실험 8. 카운터 설계 1. 실험 목표 VHDL을 이용하여 카운터를 설계한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 한글파일 논리회로실험 - 제 10장 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계 결과보고서
    Introduction VHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계해보고 이를 Training Kit에 검증해보는 ... Design (1)어떠한 회로를 설계할 것인가 1) 1)7-segment 2)7-segment를 구동하는 방법 - FPGA 보드에 세그먼트가 6개가 있지만 동시에 1개만 동작을 한다 ... 을 출력하면 된다. 4)7-segment 동작의 예 일정 시각 숫자에 따른 segment의 숫자를 입력한 것이다. 5)분주회로 - 트레이닝 키트에 있는 4MHz의 오실레이터의 클럭을
    리포트 | 32페이지 | 1,000원 | 등록일 2014.08.15
  • 워드파일 SK그룹 SK 하이닉스 설계직무 2017년 상반기 공채 최종합격 자소서(자기소개서)
    특히, VHDL을 이용하여 7 segment 동작을 위한 다양한 실습을 진행 했던 논리회로설계 과목의 프로젝트는 가장 흥미 있고 열정을 다 할 수 있는 프로젝트였습니다. ... 교환학생으로 다녀온 에인트호벤의 Fontys university는 아두이노를 이용한 실습 위주의 교육이 주를 이루는 학교였습니다. ... 한국에 돌아온 지금도 전자전기프로그래밍 과목과 디지털 시스템 과목을 수강하면서 C와 VHDL의 심화 학습을 위해 노력 중입니다. Q4.
    자기소개서 | 5페이지 | 3,000원 | 등록일 2017.06.09
  • 한글파일 VHDL을 이용한 디지털 시계 설계 할인자료
    introduction 이번 실습VHDL을 이용한 디지털 시계 설계로 분주회로를 설계하는 방법을 학습한 후, Training Kit를 통해 7-segment와 LCD로 검증하였다 ... 동작에 맞게 pin을 할당하였으며, DIGIT은 점등될 segment의 번호, SEG_A~G는 segment7개 바에 대한 번호이다. segment7개의 바로 이루어 진 것으로 ... Introduction 에서는 이미 시계는 일상적으로 사용하는 것이므로 검증에 이용할 7-segment에 대해 알아보겠다. 7-segment Design 1) what your circuit
    리포트 | 20페이지 | 3,000원 (10%↓) 2700원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • 워드파일 [논리회로실험] 실험11. 디지털 클락
    즉, 1개의 7-segment에서 다음 7-segment로 넘어갈 때 필요한 clock이 있어야 한다. ... 그리고 시간을 7-segment로 출력한다. ... . 6개의 7-segment는 아래와 같다.
    리포트 | 19페이지 | 2,000원 | 등록일 2014.03.22
  • 워드파일 삼성전자 메모리 사업부 합격 자기소개서(자소서)
    특히 논리회로설계 실습에서, VHDL을 이용한 7segment 실습은 제 관심을 흥미로 바꿔 놓았습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2017.06.09
  • 한글파일 논리회로실험 - 제 5장 ALU 코드를 KIT에 올리는 실험 결과보고서
    값을 출력하는 방법 중 하나인 7 segment가 나타나는 부분이다. (2)어떻게 이 회로를 구성할 것인가 1) VHDL 코드를 구성하는 기본 설정 (1)설계 사항2) -실습시간에 ... 세 번째로 7 segment 출력이다. 7 segment의 출력은 cnt2를 이용하여 루프를 돌며 각 자리의 7 segment 출력이 나타난다. ... 7 segment를 나타낸다. 2.
    리포트 | 17페이지 | 1,000원 | 등록일 2014.08.15
  • 한글파일 VHDL를 활용한 EE ATM 설계하기
    프로그래밍 바이블 , 한동일 , 생능출판사, 2011 -VHDL을 이용한 FPGA 디지털 설계(실습부터 응용까지), 노승환 , 한빛미디어 , 2009 -논리회로도와 VHDL를 이용한 ... 이때 text-lcd에는 해당 계좌의 계좌번호를 표시해 주고 seven segment에는 계좌의 잔액을 표시하도록 설계하였다. ... 입금 금액 입력 state(dep0)에서는 seven segment로 돈을 입력할 때 마다 숫자가 한자리씩 shift되어 해당 금액이 입력되도록 설정하였고 atm에서 천원 이하의 금액은
    리포트 | 20페이지 | 2,000원 | 등록일 2013.08.11
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업