• 캠퍼스북
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(6,061)
  • 리포트(5,559)
  • 시험자료(286)
  • 방송통신대(133)
  • 자기소개서(38)
  • 논문(37)
  • 서식(7)
  • 노하우(1)

"1비트비교기" 검색결과 1-20 / 6,061건

  • 한글파일 [논리회로설계실험]1bit 비교기 와 4bit 비교
    1bit 비교기, 4bit 비교기 1. ... 비교기 입출력 테이블A B EQ 0 0 1 0 1 0 1 0 0 1 1 1 2) 4bit 비교기 ① 두 입력이 같으면 EQ='1' , 나머지는 '0' 을 출력. ② A값이 B값보다 ... 실험 내용 1) 1bit 비교기 두 입력이 서로 같은지 또는 다른지를 비교하여 알려주는 회로로써 두 입력이 같으면 '1'을 출력하고, 다르면 '0'을 출력하는 회로 표 1 1bit
    리포트 | 5페이지 | 1,000원 | 등록일 2015.07.07
  • 한글파일 1비트비교기및플리플랍
    디지털공학 및 실습 평가 1비트 비교기 및 플립플랍 회로 2012 . 10 . 26일 1. 실험제목 : 1비트 비교기 및 플립플랍 회로 2. ... 실험 : 실험1) 1. 1비트 비교기의 정의 비교기는 두 수의 크기관계를 판별하는 조합회로이다. 2개의 1비트 입력 A,B에 대하여 A>B이면 P=1, A=B Q=1, A ... 결과 및 고찰 : 실험1) 1비트 비교기는 Comparator 는 두 개의 2진수를 비교하는 논리조합회로로서 한 수가 다른 수 보다 큰가, 작은가 또는 같은가를 결정하는 조합논리회로
    리포트 | 9페이지 | 1,000원 | 등록일 2012.12.04
  • 한글파일 논리회로설계실험 1bit 비교기, 4bits 비교
    HDL 코드 1) 1bit 비교기 : Behavioral Modeling동작 : 두 입력이 서로 같은지 또는 다른지를 비교하여 알려주는 회로.1비트 비교기는 두 입력이 같으면 ‘1’ ... < 1bit 비교기, 4bits 비교기>1. ... ; elseeq
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • 한글파일 비교기 설계 (1bit 비교기, 4bit 비교기), testbench 파일 포함
    비교기설계 1. 1비트 비교기 VHDL 파일 library ieee; use ieee.std_logic_1164.all; entity onebit_comparator is port ... ; 1비트 비교기 파형 2. 4비트 비교기 VHDL 파일 library ieee; use ieee.std_logic_1164.all; entity fbit_comparator is ... 비교기 파형
    리포트 | 5페이지 | 1,000원 | 등록일 2008.06.14
  • 워드파일 디지털 실험 6장(비교기) 예비보고서
    8비트 비교기 1DIP 스위치 1LED 1브레드 보드 15V 직류전압전원 장치 1오실로스코프 저항기 330Ω, 1kΩ 이론요약 두 수를 비교하는 것은 크 부류로 나눠 생각할 수 있다 ... 실험부품 및 사용기기 17400 2입력 NOR 게이트 17404 인버터 17485 4비트 비교기 17486 2입력 XOR 게이트 174266 개방 컬렉터 2입력 XOR 게이트 174682 ... T시 MSI인 4비트 비교기 7485는 그림 6-2와 같이 세 개의 출력 (A>B, A=B, AB, A=B, AB)=()OR[()AND()] (A=B)=()AND()] (AB)=(AB
    리포트 | 12페이지 | 3,000원 | 등록일 2019.12.17
  • 한글파일 논리회로설계실험_비교기,MUX,ALU 결과레포트
    실험 결과 - 실습 1 1bit, n bit 비교기 설계 동작적 모델링 자료 흐름 모델링 진리표에 따라 작성해보자. ... 비교기_MUX_ALU 1. 실험 목표 비교기, MUX, ALU를 설계해 본 후, 8가지 기능을 가진 ALU를 설계해본다. 시뮬레이션을 통해 올바르게 코딩을 했는지 확인한다. ... 고찰 (1) 이번에는 실습할 문제들이 상당히 많았다. 비교기 mux demux alu를 직접 설계해보는 시간이었는데 저 중 익숙한 것은 비교기와 mux밖에 없었다.
    리포트 | 17페이지 | 2,500원 | 등록일 2021.10.09
  • 한글파일 금오공대 아날로그회로응용설계 - 데이터 변환기 비교기 칩 이용 회로 설계 ADC 설계실습 레포트
    Data converter (비교기 칩 이용 회로 설계) ■ 비교기 칩 이용 회로 설계 - 비교기 칩 설명 - 설계 내용 1. 3bit flash ADC의 아날로그 부분 설계 KA339 ... 칩 내부의 비교기를 활용 - 아래 binary 2-bit flash ADC를 참고하여 0V~4V의 입력 전압 범위를 가지는 3-bit flash ADC의 아날로그 부분을 설계하고 ... ~3.0V, 3.0V~3.5V, 3.5V~4.0V의 구간을 가짐. - LM339N의 비교기를 이용, 저항 대신 LED를 사용하여 flash ADC의 동작을 검증하시오. ▲ 7개의 LED가
    리포트 | 5페이지 | 10,000원 | 등록일 2021.07.02 | 수정일 2022.01.20
  • 한글파일 부산대 어드벤처디자인 실험9 A+ 결과보고서(4비트 가산기)
    7주차 실험 보고서(실험 6) 1. 4비트 가산기 연결 실험에 대한 이론값과 결과값에 대한 비교 [사진 1] 4비트 가산기 회로 [사진 2] 4비트 가산기 이론값 [사진 3] 4비트 ... (3) 다른 종류의 가산기를 조사하여 32비트의 가산기를 구현할 경우에 가장 빠른 속도를 나타내는 가산기를 구하시오. - 반가산기 반가산기는 1 bit 짜리 2진수 두 개를 덧셈한 ... [사진 1]은 4비트 가산기를 회로로 연결한 모습이고 [사진 2]는 4비트 가산기의 진리표를 나타낸 그림이다. 이론값과 실험값을 비교하면 실험값과 이론값은 동일하게 나타나였다.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.04.09
  • 워드파일 쉽게 배우는 AVR ATmega128 마이크로컨트롤러 13장연습문제
    아날로그 비교기의 동작은 다음과 같다. ㆍ(+)입력 전압 > (-)입력 전압 → ACO=1 ㆍ(+)입력 전압 < (-)입력 전압 → ACO=0 02. ... 레지스터로서 ACME비트를 사용하여 아날로그 비교기의 (-)입력을 선택할 수 있다. 03. ... ATmega128의 아날로그 비교기의 동작에 대해 설명하시오. - 아날로그 비교기는 (+)입력 전압과 (-)입력 전압을 비교하여 비교 결과를 ACO로 출력하는 장치이다.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.12.06 | 수정일 2021.06.18
  • 워드파일 [부산대학교][전기공학과][어드벤처디자인] 9장 4비트 Binary Adder, 2's Complement 4비트 Adder / Substrator 연산회로(9주차 결과보고서) A+
    Binary 4-Bit 가/감산기를 구성하고 동작을 파악한다. 실험 방법 TTL IC를 이용하여 그림 9.1의 회로를 구성한다. ... 방법 -장점 : 이 방법은 비트 계산 전에 먼저 자리올림수를 계산해놓기 때문에 각 자리 비트의 덧셈이 동시에 이루어져 리플 자리올림수 가산기와 비교했을 때 현저하게 적은 지연으로 계산 ... 보수에 대한 이해를 바탕으로 Binary 4-Bit 가/감산기를 이해한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.04.25
  • 한글파일 비교기, 보수기, 패리티 검사기 설계
    Gate 2개를 이용한 1비트 비교기이다. ... 실험 제목 ① XOR Gate (7486사용) ② 선택형 XOR XNOR Gate ③ 1비트 비교기 ④ 보수기 ⑤ 패리티 검사기 2. ... 입력 스위치 A를 Low(0)로 B, C를 High(1)로 조작하면 출력 LED는 Low(0)가 나온다. ③ 1비트 비교기의 원리 NOT Gate 3개, XOR Gate 1개, AND
    리포트 | 19페이지 | 1,500원 | 등록일 2020.11.15 | 수정일 2022.04.23
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 4. Multiplexer, Demultiplexer and Comparator 예비 보고서
    [그림 11] 5) 3-bit 비교기 XOR gate를 이용하여 두 3비트 2진수가 같으면 0, 다르면 1을 출력하는 논리 회로 를 [그림 12]와 같이 설계할 수 있다. f=(x ... [그림 21] [그림 22] 5) 3-bit 비교기 구현 실험에서는 3-Input OR gate를 사용할 수 없으므로 [그림 12]의 회로 대신 2개의 2-Input OR gate를 ... gate의 동작 원리와 비교기 구조를 이해한다. 2.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 제어계측공학과 졸업작품 『4족로봇』
    Serial USART - 마스터/슬레이브 SPI직렬 인터페이스 - 두 개의 프로그래밍 가능한 직렬 USART제공 - 칩 내장형 아날로그 비교기 ? ... Bit2, 1 = UCSZn1, UCSZn0(USART Character Size) - UCSRnB의 UCSZn2비트와 함께전송 문자의 데이터 비트수를 설정한다. ... Bit3 = USBSn(USART Stop Bit Select) - USBSn = 1 : 스탑비트 2개로 설정 UPMn1 UPMn0 패리티모드 0 0 패리티체크 기능을 사용하지 않음
    논문 | 17페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • 파워포인트파일 일상생활 속에 숨어있는 수학 PPT 자료
    비교 연산 : 두 이진수를 비교하여 두 값의 관계를 판단하는 연산으로 , 등호 (==), 부등호 ( , ), 등을 사용합니다 . 9 비트 논리 연산의 예시 구면삼각법 구면삼각법 이란 ... 1 , 10 2 , 10 3 으로 쓸 수 있다 8 이진수 연산 비트 논리 연산 : 이진수 비트 간의 논리 연산으로 AND, OR, XOR, NOT 등이 있습니다 . ... 비트 시프트 연산 : 비트를 왼쪽으로 시프트 ( 이동 ) 하거나 오른쪽으로 시프트 하는 연산으로 , 데이터의 크기 조절 및 배수 연산에 사용됩니다 .
    서식 | 12페이지 | 1,500원 | 등록일 2024.02.05
  • 한글파일 제어계측공학과 졸업작품 『휴대폰 블루투스를 이용한 무선조종 휠체어 개발』
    UCSRnB의 UCSZn2 비트와 함께 전송 문자의 데이터 비트 수를 설정 ▷ Bit0 - UCPOLn : USART Clock Polarity ? ... 인터럽트가 처리되기 시작하면 이 비트는 자동으로 0으로 클 리어 ▷ Bit5 - UDREn : USARTn Data Register Empty ? ... 이 비트는 동기 전송 모드의 슬레이브 동작에서만 사용 ? UCPOLn = 1 : 송신데이터는 XCKn 클럭의 하강에지에서 새로운 값이 출력.
    논문 | 38페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • 파일확장자 퍼스널 컴퓨터를 이용한 칼라 어군탐지기의 개발에 관한 연구
    에코신호의 샘플링 간격은 0.1m, A/D 변환속도는 30μsec로 하였다. ... 본 연구에서는 이 문제를 해결하는 하나의 방안으로 퍼스널 컴퓨터(386DX, 20 MHz), 디지털 I/O 보드, 동시 샘플링형 A/D 보드(12bit, 15 μsec/ch) 및 초음파 ... 에코그램을 비교한 결과, 해저 수심, 어군 등의 에코 기록이 완전히 일치하면서 해상력이 뛰어났으며, 키보드의 기능키에 할당된 에코그램의 shift 기능, 화면상의 최대 표시 심도
    논문 | 9페이지 | 4,000원 | 등록일 2023.04.05
  • 한글파일 SK텔레콤 소프트웨어직 합격자소서
    1.자발적으로 최고 수준의 목표를 세우고 끈질기게 성취한 경험에 대해 서술해 주십시오 A. 2014년 전산소 개발실에서 전투기 사고 재현 영상 제작 업무 진행 B. ... 결과적으로 초기 영상과 비교하면 어색함이 많이 사라지고 디테일이 높은 결과물을 만들 수 있었습니다. ... 이렇게 역할 분담한 내용을 바탕으로 그 두 동료에게 최소한의 역할이라도 맡아야 한다고 설득하였고, 비교적 어렵지 않은 관광 명소 조사를 위주로 하는 역할을 맡도록 했습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.11.20
  • 파워포인트파일 조도계로 빛의 세기를 7-segment로 크기 표현
    학기 디지털공학과 기초전자회로 실험 에서 배운 7-segment , 비교기 , 가산기를 활용하여 빛의 세기의 상대적 수치를 나타내 보기로 했다 . ... 선정 이유 및 목표 빛의 세기를 실제 우리가 알 수 있는 수치로 시각화 하여 표현하는 방법을 생각하던 중 CdS ( 황화카드뮴 ) 광센서와 Analog-digital 변환소자 , 1
    리포트 | 8페이지 | 3,000원 | 등록일 2020.05.06
  • 파일확장자 고형배지를 이용한 순환식 딸기 수경재배에서 배지 종류별 무기이온 흡수 특성
    마그네슘은 코코피트배지에서 생육 전반기에 3me·L-1 정도의 높은 흡수농도를 나타내었으며 생육 후반기까지도 다른 배지에 비해서 가장 높은 흡수 농도를 나타내었다. ... 칼륨의 흡수농도는 톱밥배지를 제외하고는 세 배지 모두 비슷한 흡수량을 나타내었는데 생육초기에는 비교적 높은 흡수량을 나타내었지만 점차적으로 감소하여 4me·L-1 전후의 흡수량을 나타내었다 ... 비교적 높은 농도로서 추후 검토를 할 필요가 있을 것으로 생각되었다.
    논문 | 7페이지 | 4,000원 | 등록일 2023.04.05
  • 한글파일 제어계측공학과 졸업작품 『가스밸브 자동 잠금장치』
    프리스케일러 타이머/카운터는 입력 클럭을 분주하는 10비트 크기의 프리스케일러(Prescaler) 부를 가지는데, 타이머/카운터1 & 2&3 그리고 0의 프리스케일러(Prescaler ... Atmel사가 개발한 64핀 TQFP형의 저전력 8비트 CMOS 마이크로 컨트롤러로서 유사 RISC 아키텍처 구unter0 특징 - 8비트 UP 카운터 - 8개의 클럭 입력 중 선택 ... 정지, PCK0, PCK0/8, PCK0/32, PCK0/64, PCK0/128, PCK0/256, PCK0/1024 - 비교 출력(Compare output) 기능이 있음. - PWM
    논문 | 30페이지 | 5,000원 | 등록일 2020.05.31 | 수정일 2020.06.08
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업