• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,431)
  • 리포트(6,854)
  • 자기소개서(241)
  • 시험자료(152)
  • 방송통신대(106)
  • 서식(35)
  • 논문(23)
  • 기업보고서(6)
  • ppt테마(5)
  • 표지/속지(4)
  • 노하우(3)
  • 이력서(2)

"자판기" 검색결과 101-120 / 7,431건

  • 파워포인트파일 자판기 판매시스템 ( 자료 흐름도 )
    판매 시스템 ( 자료 흐름도 ) 판매 시스템 각 부서 매장 외부조사기관 거래 처 판매 시스템의 배경도 의사결정 정보 판매분석 비용분석 경쟁사분석 판매예측자 료 각 부서에서 의사결정이 된 정보 판매량 세금계산서 주문량 경쟁사 정보 매장 외부조사기관 거래 처 판매 시스템의..
    리포트 | 7페이지 | 1,000원 | 등록일 2011.11.23
  • 파일확장자 Verilog HDL (자판기 설계)
    14주차 논리회로설계 ◈ 자판기를 설계하시오.1.
    리포트 | 1페이지 | 3,000원 | 등록일 2010.06.07
  • 한글파일 시각장애인을 위한 자판기 DFD DD mini-spec
    결론 시각장애인들 전용 자판기가 아니므로, 일반 자판기에 음성 기능을 추가 하게끔 하면 된다. ... -먼저 기존 시스템(음료수 자판기)를 분석해 보겠다. 1.배경도 작성 // 사용자(고객)은 자판기에 돈을 넣고, 자판기로부터 음료수와 거스름돈을 받는다. 2.분할 고객으로부터 들어온 ... -자판기는 현재 투입된 돈을 기억하여, 사용자에게 보여주고, 음성으로 알려준다.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.04.18 | 수정일 2018.08.11
  • 워드파일 디지털 논리회로 자판기 구현
    Question #3. 1. Introduction In this question, I saperated the states according to the amount of money accumulated until now. That means, S0 equals to..
    리포트 | 6페이지 | 1,000원 | 등록일 2011.06.28
  • 한글파일 c언어 자판기 소스
    #include main() { /*1개씩만 뽑는다고 가정*/ char money ; int a,choice ; printf("동전인가 지폐인가? \n동전은A 지폐는B : "); scanf("%c", &money); if (money == 'A') { printf("..
    리포트 | 3페이지 | 1,000원 | 등록일 2010.05.22
  • 파일확장자 자판기 시스템
    #소스일부int main(void){int coin50_number;/* 50원짜리 동전 의 개수*/int coin100_number;/* 100원짜리 동전 의 개수*/int coin500_number;/* 500원짜리 동전의 개수 */int total=0;/* 넣어진..
    리포트 | 1,000원 | 등록일 2007.06.03
  • 파일확장자 [VHDL][논리회로] Mealy, Moore Type의 FSM(신문자판기)
    [VHDL][논리회로] Mealy, Moore Type의 FSM(신문자판기) A+받은 설계 입니다
    리포트 | 1,000원 | 등록일 2014.11.15 | 수정일 2018.05.17
  • 파일확장자 자판기 시뮬레이션 프로그램
    자바프로그래밍을 수강하면서 텀프로젝트로만든 자판기 프로그램입니다. 객체지향 특성에 맞게 총 4개 클래스로 나누어서 코딩하였고 참고하시면 좋을듯.....
    리포트 | 19페이지 | 2,000원 | 등록일 2008.12.28
  • 한글파일 자판기설계
    자판기설계 서론 학교주변이나 우리가 생활하는 주변에서 찾아볼 수 있는 자판기를 만드는 회로를 winbreadboard로 구현하여 동전을 투입하여 결과 내용물과 거스름돈을 얻는 것이 ... 현 시대에는 자판기에 라면과 아이스크림 심지어 생필품까지 밴딩머신이 편의점의 이점을 살려 제작된 것들을 볼 수 있다. 또 한 신용카드로 지불하는 자판기도 등장하고 있다. ... 이런 첨단의 자판기 앞에서 이 실습은 작게만 볼 수도 있지만 가장 기초원리를 이해하게 된 실습이었던 것 같다. 현재 자판기는 돈을 입력후 하나하나 버튼을 눌러야 가능하다.
    리포트 | 8페이지 | 2,500원 | 등록일 2007.06.07
  • 한글파일 자판기 시스템의 구현
    여러 가지 자판기 종류 중 이번에 다루고자 하는 자판기는 위치를 제어하여 원하는 물품을 받을 수 있는 시스템을 만들고자 한다. ... 그러나 여러 발명품에 대해 아무런 생각 없이 이용만 하는 경우가 많은데, 그 중 자판기가 그러한 예이다. 우리 주변에서 자판기는 흔히 볼 수 있다. ... 과거의 자판기는 커피나 음료수를 간단한 원리로 뽑을 수 있는 시스템에서 이제는 시스템의 위치 제어를 통해 원하는 위치로 이동하여 물건을 꺼낼 수 있는 시스템, 얼굴 인식 자판기
    리포트 | 22페이지 | 2,500원 | 등록일 2008.12.11
  • 파일확장자 자바를 이용한 자판기프로그램
    자바 awt를 이용한 자판기 프로그램
    리포트 | 1,500원 | 등록일 2010.04.04
  • 파일확장자 자판기
    자판기 프로그램입니다.
    리포트 | 2,000원 | 등록일 2005.05.17
  • 한글파일 외부자판기 사업제안서
    자판기 사업제안 (1) 자판기 사업소개 ? ... 자판기 관리방법 -자판기 관리자 실명제 부착운영 -관리자 건강진단서 발급 -자판기 1일 1회 청소 및 소독 의무실시 -원재료 유통기간 검수 철저 -커피자판기 물탱크 식수 매일 교환 ... 책자판기와 컨텐츠자판기는 문화적 아이콘으로 사업 초기 많은 관심을 끌었으며 감성 마케팅 트랜드에 따라 자판기 변화를 추구하는 첫 작품이라고 할 수 있습니다. - 자판기 관원 - 긴급상황을
    리포트 | 18페이지 | 2,000원 | 등록일 2011.03.26
  • 파일확장자 c++ 자판기 프로그램
    //===================================함수 선언부==============================void myClear(int sx, int sy, int ex, int ey);//해당영역 clear함수void gotoxy(int x,..
    리포트 | 8페이지 | 1,000원 | 등록일 2011.03.29
  • 한글파일 동기식 10진 계수기를 이용한 단품 자판기
    제목 동기식 10진 계수기를 이용한 단품 자판기. 2. ... 설계목적 동기식 10진 계수기를 이용하여 가격이 1000원인 단품 자판기를 만든다. 100원이 투입되는 것이 10번 반복되어 총 1000원이 자판기에 투입되면, 제품이 나오게 된다. ... Project Proposal for Digital Circuits and Experiments Title: 동기식 10진 계수기를 이용한 단품 자판기 Team Number: Team
    리포트 | 3페이지 | 1,000원 | 등록일 2013.10.22
  • 파워포인트파일 자판기상품판매 사업계획서
    이색 자판기의 잠재적인 성장은 우리 자판기의 잠재적 경쟁자가 될 확률이 높음 브라 자판기 아이스크림 자판기 콜라 자판기 이동하는 자판기 피자 자판기 운세 자판기 5 Forces Model ... 냉온겸용자판기 등장 1982 컵라면, 생리대, 티슈 자판기 전개 1984 삼성, 금성이 화폐교환기 도입 판매 1988 삼립식품 아이스크림 자판기 도입 담배자판기 수요급증 1990년대 ... 잠재적 진입자 이색 자판기 우리의 자판기가 'LCD를 장착한 무료 자판기'라는 특이한 컨셉으로 아직 이색 자판기 시장이 성장하지 못한 한국에서 성공을 거두려하는 것이므로, 아래와 같은
    리포트 | 100페이지 | 4,500원 | 등록일 2012.02.27
  • 한글파일 자판기(자동판매기) verilog
    디지털회로설계 및 언어 결과보고서 Vending Machine 목차 1연구배경 1.1 자판기 사전적 의미........................................... ... .................................16 3.6 자판기 회로 Verilog HDL 코드.............................. ... ............................................3 1.2 자판기 종류별 구조적 설명.....................................
    리포트 | 26페이지 | 10,000원 | 등록일 2009.12.26 | 수정일 2020.08.28
  • 파워포인트파일 자판기 흐름도
    자판기 배경도 고 객 자판기 관리자 상품변경 자판기 관리 판매량 알림 음료수 주문 자판기 상태 알림 동전투입,음료요구 음료수 요구결과 자료흐름도 작성 Diagram0 – 자판기. ... Diagram0 자판기 음료수를 뽑는다. 1 거래량을 출력한다. 2 상품정보 변경 3 시스템 모드전환 4 금액투입 음료수선택 자판기정보 반환요구 음료수제공 금액반환 음료수정보 거래기록 ... 자판기 정보제공 1.1 음료수 제공 1.3 취소 1.2 잔액정보 음료수정보 금액 반환요구 금액반환 거래기록 금액투입 투입금액정보 음료수선택 잔액 음료수 음료수정보 Diagram2 거래량출력
    리포트 | 7페이지 | 1,000원 | 등록일 2006.12.28
  • 한글파일 VHDL을 통한 자판기 설계
    자판기 [VHDL 언어 구현] library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ... 0 0 1 0 s4 0 x 1 0 0 0 0 1 0 0 0 0 x 0 1 0 0 0 0 1 0 0 0 x 0 0 1 0 0 0 0 1 0 0 x 0 0 0 1 0 0 0 0 1 자판기
    리포트 | 8페이지 | 2,500원 | 등록일 2009.12.16
  • 한글파일 디지털 회로 설계 프로젝트 vending machine (자판기)
    여기에서 설계한 자판기에 들어가는 동전의 종류는 100원, 500원 두 종류이고 자판기 안에 있는 음료수의 종류는 400원짜리, 500원, 600원 이렇게 세 종류다. ... 여기서 reset은 자판기를 사용하는 사용자가 바뀌거나 사용자가 음료수를 뽑는 일을 다 마쳐서 다시 돈을 넣을 상태가 된 것을 뜻한다. ... 설계 목표 그 동안 학습한 comparator, multiplexer, adder, register, d flip-flop, logic gates 등의 기능을 이용해 음료수 자판기
    리포트 | 7페이지 | 2,000원 | 등록일 2013.01.02 | 수정일 2021.01.31
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업