• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(85)
  • 리포트(81)
  • 시험자료(4)

"엔코더 디코더" 검색결과 1-20 / 85건

  • 한글파일 논리회로설계실험_디코더/엔코더 레포트
    디코더, 엔코더 1. ... 실험 목표 디코더엔코더의 원리를 이해하고 2x4 디코더와 4x2 엔코더를 응용하여, 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션을 ... 이를 통해 올바르게 코딩했음을 알 수 있다. - 실험 4. 8X3 엔코더를 설계하시오. 1) 진리표 엔코더디코더의 반대 기능을 수행한다.
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • 한글파일 논리회로설계실험 - 디코더/엔코더 예비레포트
    실험 목표 디코더엔코더의 원리를 이해하고 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션으로 코딩이 올바르게 되었는지 확인한다 ... 신호를 코드화하는 기기를 엔코더라고 한다. (2) 엔코더 엔코더디코더의 반대 기능을 수행하는 조합논리회로로, 입력을 특정의 부호로 변환한다. ... 그렇다면 엔코더는 어디에 사용될까?
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 한글파일 디지털실험 - 실험 4. 엔코더디코더 회로 결과
    엔코더디코더 회로 조 13조 1. 실험 결과 실험 1) 실험1.의 결과를 기입하라. ... 실험 1은 NOT게이트와 AND게이트를 이용해 디코더를 구현하는 실험이었다. 측정값은 디코더의 진리표대로 측정되었다. ... 측정값은 디코더의 진리표대로 측정되었다. 디코더의 성질에 다라 입력 값에 따라 선택된 하나의 출력선이 나머지 출력선들과 반대의 값을 갖는 값이 출력되었다.
    리포트 | 4페이지 | 1,500원 | 등록일 2017.04.02
  • 한글파일 디지털실험 - 실험 4. 엔코더디코더 회로 예비
    엔코더디코더 회로 조 13조 1. ... BCD-7 세그먼트 디코더는 BCD 숫자에 대해 4개의 입력과 선분을 선택하는데 사용되는 7개의 출력을 가지고 있으며, 디코더의 7개 출력은 표 4-1과 같고 이는 7-세그먼트의 입력이 ... 예비보고서 1) BCD to 7-Segment 디코더에 대해 설명하라. - 이 디코더는 BCD 코드를 입력으로 하고 출력으로는 하나의 10진 숫자를 표시하기 위해 7개의 출력을 갖는
    리포트 | 6페이지 | 1,500원 | 등록일 2017.04.02
  • 한글파일 엔코더디코더 회로 예비보고서
    실험 제목 엔코더디코더 회로 실험 목적 [1] Encoder와 Decoder의 기능을 익힘 [2] 부호변환 회로의 설계방법을 익힘 [3] Seven-segment 숫자표시기의 ... 1 0 0 0 1 1 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 1 0 0 ※시뮬해석 1번의 실험과 같이 이론에 나오듯이 코더의 ... 4] BCD 7-세그먼트 디코더 오른쪽 그림(진리표와 세그먼트 디코더)에 나타낸 것과 같이 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트
    리포트 | 6페이지 | 1,000원 | 등록일 2014.06.03
  • 한글파일 엔코더디코더 회로 결과보고서
    지식이 늘었고 엔코더디코더의 반대의 성질을 가진 것으로 입력 선에 나타나는 비트의 2진 코드를 n개의 서로 다른 정보로 바꿔주는 것임을 디코더 실험을 통해 두루 알 수 있었다. ... 0 1 0 1 1 1 1 1 0 1 1 1 1 1 1 0 0 0 0 1 0 0 0 1 1 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1 비고 및 고찰 이번실험의 경우 엔코더와 ... 디코더의 기능을 익히고 7segment설계를 해보는 실험이었는데, 1학기 디지털 실험시간에 인코더 디코더에 대한 지식이 많이 부족한채 2학기로 넘어와서 실험을통하여 디코더에 대한
    리포트 | 3페이지 | 1,000원 | 등록일 2014.06.03
  • 한글파일 결과보고서 #4 - 디코더, 엔코더 설계
    디코더, 엔코더 설계 1. 실험 목표 디코더와 인코더를 응용하여 오류정정 코드중에 하나인 해밍코드 인코더디코더를 설계하고 시뮬레이션을 통해 확인한다. 2. ... 과 목 : 논리회로설계실험 과 제 명 : #4 디코더, 엔코더 설계 (결과) 담당교수 : 국태용 교수님 담당조교 : 김태경 이희준 조교님 학 과 : 전자전기공학과 학 년 : 3 반 ... 응용한 해밍코드라는 오류검출 코드를 생성하고 해독하는 해밍코드 인코더/디코더를 설계하는 실험이었다.
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 한글파일 디지털실험 4예비 실험 4. 엔코더디코더 회로
    엔코더디코더 회로 실험 목적 1. Encoder와 Decoder의 기능을 익힌다. 2. ... BCD to 7-Segment 디코더에 대해 설명하라. ... 또한 BCD-7세그먼트 디코더는 BCD숫자에 대해 4개의 입력과 선분을 선택하는데 사용되는 7개의 출력을 가지고 있으며, 디코더의 7개 출력(a~g)은 아래의 표와 같고 이는 7-세그먼트의
    리포트 | 6페이지 | 1,000원 | 등록일 2014.09.30
  • 한글파일 디지털실험 결과보고서 실험 4. 엔코더디코더 회로
    엔코더디코더 회로 실험 결과 실험 1. 2입력 디코더의 회로를 구성하고 출력의 논리식을 AB로 나타내어라. 실험 1의 회로이다. ... 실험 4와 함께 수행한 설계 실험의 목표이며 내부는 디코더와 OR Array로 구성되어 있을 것이다(소자 이름에 디코더라고 써있으므로 PLA가 아닌 것 같다). ... 디코더의 반대개념. 7세그먼트 디코더(7447) - 가장 중요하다. 7세그먼트에 0부터 9까지 불을 켜주기 위한 논리 소자로 4개의 입력에 따라 목적에 맞는 결과(a~g)가 나온다.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.09.30
  • 한글파일 멀티플렉서,디멀티플렉서,엔코더,디코더
    엔코더(encoder) 인코더는 부호기(암호-디지털회로가 다루고 있는 부호 2진수, 16진수, BCD-를 만들어내는 장치)라고도 한다. ... 디코더(decoder) 디코더는 해독기(암호를 해독하여 원래의 정보로 되돌리는 장치)라고도 한다. 디코더는 인코다. ... 디코더회로 다른 출력단자에 대해서도 같은 방식으로 선을 연결한다. 최종적인 2진수→10진수 디코더의 논리회로는 다음과 같다.
    리포트 | 8페이지 | 1,500원 | 등록일 2010.06.18
  • 한글파일 [디지털회로실험] (실험5) 멀티플렉서, 디멀티플렉서, 엔코더, 디코더 / (실험6) 7-세그먼트 디코더
    시뮬레이션 결과 실험 5-(3) 74147을 이용하여 10개의 입력을 BCD코드로 변환하는 엔코더 ? 회로도 ? ... 시뮬레이션 결과 실험 6-(1) 7-세그먼트 디코더 회로의 동작 확인 ? 회로도 ? 시뮬레이션 결과
    리포트 | 3페이지 | 1,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • 한글파일 디코더엔코더예비레포트
    대한 진리표 엔코더(Encoder) 인코더(encoder)는 디코더의 기능으로부터 반대되는 동작을 만들어내는 디지털 회로이다. ... 디코더의 일반적인구조 디코더의 일반적인구조는 다음그림과 같다. 에이블 입력이 존재한다면 반드시 정상적인 매핑함수를 수행하기 위해서 디코더에 지정된다. ... 디코더와 디멀티플렉서의 동작은 같은 회로에서 얻어지므로, 인에블 입력을 같는 디코더디코더/ 디멀티플렉서라고 한다. 이것은 인에블입력이 바로 회로를 디멀티플렉서라고 한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2007.04.03
  • 한글파일 실험 4. 엔코더디코더 회로
    엔코더디코더 회로 결과 보고서 ; 1. 실험 1.의 결과를 기입하라. ... 특히 엔코더디코더는 서로 상충된 역할을 하는 회로인데 두 회로의 차이점을 알아보는 일도 재미있는 일이었다. 실험 1은 그림으로 보기에도 참 간단한 회로 구성 이었다. ... 0 1 1 1 1 1 6 0 1 1 1 1 1 1 0 0 0 0 7 1 0 0 0 1 1 1 1 1 1 1 8 1 0 0 1 1 1 1 1 0 1 1 9 비 고 ; 이번 실험은 엔코더
    리포트 | 5페이지 | 1,000원 | 등록일 2006.12.20
  • 한글파일 엔코더디코더 결과
    상충된 역할을 하는 엔코더디코더의 실험 하나하나 들춰보면 실험 1에서는 NOT과 AND게이트만을 사용하여 회로를 구성하는 비교적 간단한 실험이었다.다른 4개의 입력값을 주어 그 ... 0 1 6 c d e f g 0 1 1 0 7 a b c 0 1 1 1 8 a b c d e f g 1 0 0 0 9 a b c f g 1 0 0 1 ◎ 실험 고찰 이번 실험은 엔코더와 ... 디코더의 기능을 알고 우리가 일상생활에서 흔히 접할수 있는 숫자 표시기인 7-segment 의 사용방법과 그 회로구성을 익히는 것이었다.
    리포트 | 6페이지 | 1,000원 | 등록일 2004.03.20 | 수정일 2014.08.20
  • 한글파일 엔코더디코더 예비
    엔코더디코더 회로 예비 1.디코더(Decoder) ⇒ 주어진 2진 정보가 어떠한 상태 또는 명령을 나타내는 회로 a 특징 : 코드화된 입력을 출력으로 변환하는 다중-입력, 다중-출력 ... 논리회로 b 기능 : 디코더는 조합회로로서 n개의 Binary 입력신호로부터 최대 2n개의 출력신호를 만든다. ... BCD to 7-Segment 디코더에 대해 설명하라. ☞ BCD to 7-Segment는 일종의 코드 변환기이다.
    리포트 | 4페이지 | 1,500원 | 등록일 2004.03.20 | 수정일 2014.08.20
  • 한글파일 [기초회로실험]실험12.디코더, 엔코더 및 멀티플렉서(결과보고서)
    실험 #12 디코더, 엔코더 및 멀티플렉서 < 실험 > (1) 다음의 소자에 대하여 진리표를 실험적으로 구하라. ... 위의실험은 소자 7442 IC는 4개의 입력 신호(4비트 이진수 입력)를 받아 10개의 출력 선 중에 한개를 선택하여 번호를 나타내는 단자를 LOW로 출력하는 Active Low 형식의 디코더이다 ... Y3 H X X H H H H L L L L H H H L L H H L H H L H L H H L H L H H H H H L 고찰 : 74LS139 IC는 2입력 4출력의 디코더이다
    리포트 | 6페이지 | 1,000원 | 등록일 2011.11.18
  • 파일확장자 convolutional encoder와 viterbi decoder 구현 with C(컨볼루셔널 엔코더와 비터비 디코더를 C로 구현)
    중요한 convolutional encoder와 그에 따른 viterbi decoder를 구현한 것입니다. convolutional encoder에 대한 정보는 아래 나타나 있고 엔코더와 ... 디코더에 대한 코드는 압축파일로 압축이 되어 있습니다.구현언어는 C언어이고 코드상에 간단한 주석을 달아놓았습니다.
    리포트 | 1페이지 | 2,000원 | 등록일 2014.01.14
  • 한글파일 멀티플렉서, 디멀티플렉서, 엔코더, 디코더 디지털회로실험 결과보고서
    디지털회로실험 결과보고서 -Lesson 5 결과 멀티플렉서, 디멀티플렉서, 엔코더, 디코더 실험3 멀티플렉서, 디멀티플렉서, 엔코더, 디코더 1.실험목적 1) 연산 회로의 기보인 멀티플렉서 ... , 디멀티플렉서, 디코더, 그리고 엔코더를 이해하고, 동작을 확인한다. 2) 기본 게이트를 사용하여 멀티플렉서 및 디멀티플렉서를 구성한다. 3) 멀티플렉서 TTL을 사용하여 동작을 ... 또 출력이 A∼D순서가 아닌 D∼A이기 때문에 엔코더의 동작특성을 확인하는데 어려움이 있었다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.05.23
  • 한글파일 멀티플렉서, 디멀티플렉서, 엔코더, 디코더 디지털회로실험 예비보고서
    디지털회로실험 결과보고서 -Lesson 5 사전보고서 멀티플렉서, 디멀티플렉서, 엔코더, 디코더 1. 4-TO-1 멀티플렉서 동작 확인 4-TO-1 멀티플렉서 시뮬레이션 결과 입력신호 ... 엔코더는 입력신호에 대해 대응하는 코드로 신호를 변환시키는 회로를 말한다. ... 엔코더 회로에서는 입력에 대응하는 코드로 출력결과를 변환시켜 나타낼 수 있었다.
    리포트 | 6페이지 | 1,000원 | 등록일 2010.05.23
  • 한글파일 [전자공학] 디코더엔코더
    제목 디코더(Decoder)와 엔코더(Encoder) 2. 목적 디코더(Decoder)와 엔코더(Encoder)의 회로를 구성하고 동작을 확인한다. 3. ... 일반적으로 디코더는 n개의 입력선과 최대 2 개의 출력선을 가지며, 입력 값에 따라 선택된 하나의 출력선이 나머지 출력선들과 반대값을 갖는다. (5)엔코더(Encoder) 엔코더(Encoder ... 캐소드 공통형 7세그먼트 표시기를 위한 디코더를 설계하였다.
    리포트 | 11페이지 | 1,000원 | 등록일 2004.10.15
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업