• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,198)
  • 리포트(1,053)
  • 시험자료(99)
  • 방송통신대(27)
  • 자기소개서(15)
  • 논문(4)

"복호기" 검색결과 1-20 / 1,198건

  • 한글파일 복호기와 부호기
    복호기와 부호기 (Decoder & Encoder) Ⅰ. ... 파형발생기 역시 5V 사각파 1Hz로 설정하였다. ... Clock 신호로 1Hz, 5V 사각파를 파형발생기를 이용하여 인가하였다. 이때 시간이 지남에 따라 LED의 깜빡임을 확인한 결과 사진2와 같이 확인 할 수 있었다.
    리포트 | 22페이지 | 1,000원 | 등록일 2010.12.20
  • 한글파일 디지털실험및설계 결과6(복호기와 부호기)
    그림1.1)은 4-to-2 부호기의 결과표이다. 부호기는 복호기의 반대기능을 수행한다. 결과표에서 살펴보면 복호기와의 입/출력 값들이 서로 바뀌어 있다는 것을 알 수 있다. ... 0 1 0 0 0 1 1 그림1.1) 4-to-2 부호기 그림1)은 2-to-4 복호기의 결과표이다. ... 복호기는 입력 측에 어떠한 신호가 있는가를 검출해 주는 회로를 나타내는데 출력의 개수는 입력의 개수와 관계가 있다. 2-to-4 복호기는 입력이 N개면 2 ^{N}개의 출력을 가지는데
    리포트 | 5페이지 | 1,500원 | 등록일 2015.12.05
  • 한글파일 7.복호기와 부호기[예비]
    복호기와 부호기 (Decoder & Encoder)> -예비 보고서- 1. 그림 9는 3단 2진 카운터이다. 5와 6을 각각 디코딩하기 위한 logic diagram을 그려라.
    리포트 | 4페이지 | 1,000원 | 등록일 2011.07.05
  • 한글파일 7.복호기와 부호기[결과]
    복호기와 부호기 (Decoder & Encoder)> -결과 보고서- 1. ... 위의 회로를 시뮬레이션하여 그 결과로부터 7 segment 표시기에 출력될 숫자는 위의 그림과 같다. ... 십진수로 표시하기 위한 소자로써 각 획에 해당하는 출력이 1일 때 그 부분이 7 segment 표시기에 출력된다. 0~9까지의 수는 7개의 획으로 표현되어 지므로 7448의 출력은
    리포트 | 7페이지 | 1,000원 | 등록일 2011.07.05
  • 한글파일 디지털실험및설계 예비6(복호기 및 부호기)
    이 회로도는 복호기와 부호기를 합쳐 놓은 것이다. 2-to-4 복호기와 4-to-2 부호기를 합쳐 놓은 것이니 입력 값과 출력 값이 같다는 것을 예측해 볼 수 있다. (3) binary-to-BCD ... 그림1)은 2-to-4 line 복호기의 회로도 이다. 그림1.1)는 진리표를 나타낸다. ... 디지털 논리 실험 및 설계 #6 복호기 및 부호기 (예비) 담당교수님 : 교수님 제출일자 : 2015. 04. 27 조 : 학번 : 이름 : 1.
    리포트 | 10페이지 | 1,500원 | 등록일 2015.12.05
  • 한글파일 복호기,부호기 관련 실험 예비보고서
    , 부호기로 인해 디지털 신호로 변환된 것을 다시 원래 신호로 바꿔주는 역할을 하는 것이다. (2) 부호기(encoder) 부호기는 복호기의 역연산을 수행하는 회로이다. 2의 n승 ... 우선순위 엔코더들은 종종 작동되는 더 높은 우선순위의 신호로부터 방해신호를 제어하는데 사용된다. (4 to 2 부호기 회로) (부호기와 복호기의 결합 회로) (3) BCD-to-7- ... 1, 실험 이론 (1) 복호기(decoder) N개의 입력으로 들어오는 데이터를 받아 그것을 숫자로 보고 2의 n승 개의 출력 중 그 숫자에 해당되는 번호만 1을 내보내고 나머지는
    리포트 | 3페이지 | 1,000원 | 등록일 2014.05.28
  • 한글파일 실험 7. 복호기와 부호기(Decoder & Encoder)
    복호기와 부호기(Decoder & Encoder) > < 목 적 > 카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를
    리포트 | 3페이지 | 2,000원 | 등록일 2012.03.11
  • 한글파일 아주대 논리회로실험 실험결과7 복호기와 부호기 (Decoder & Encoder)
    실험 5. 7 segment 표시기를 갖는 BCD 카운터 7 segment 표시기를 갖는 BCD 카운터 출력값 4 7 segment 표시기를 갖는 BCD 카운터 출력값 5 Simulation ... 여기서 디코더와 인코더의 개념을 이해해야 하는데 디코더는 컴퓨터가 사용하는 수인 2진수를 사람이 사용하는 10진수로 변환하는 것으로 복호화라고 하고 인코더는 사람이 사용하는 10진수를 ... 주파수 발생기의 출력을 10KHz로 하고 각 10진 출력을 연결하여 10진 0, 5, 7 출력 파형을 아래와 같이 그린다. 실험 4.
    리포트 | 9페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 한글파일 아주대 논리회로실험 실험예비7 복호기와 부호기 (Decoder & Encoder)
    복호기와 부호기 (Decoder & Encoder) 예비보고서 ● 이론 (1) 복호화(Decoding) 2진수를 10진수로 바꿔주는 것으로 카운트 상태를 AND gate를 이용하여 ... 통상의 부호화와 복호화는 하나의 칩으로 구성된 인터페이스 집적 회로(IC) 등을 이용한다. (2) 복호기(Decoder) 컴퓨터 내부에서 디지털로 코드화된 데이터를 해독하여 그에 대응되는 ... 복호기는 일반적으로 n-bit의 코드 입력과 2n의코드출력을가지는소자로서하나의코드입력과하나의코드출력을 1대1로 대응시키는 기능을 가진다.
    리포트 | 12페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 워드파일 [컴퓨터공학기초설계및실험1 예비레포트] 복호기와 부호기 / 멀티플렉서와 디멀티플렉서
    컴퓨터 공학 기초 설계 및 실험1 예비보고서 실험제목: 복호기와 부호기(예비) 멀티플렉서와 디멀티플렉서(예비) 예비보고서 제목 및 목적 제목 복호기와 부호기(decoder and encoder ... ) 목적 복호기와 부호기에 대해 알고 동작원리를 이해 및 특성을 확인한다. ... 원리(배경지식) 복호기(decoder) 복호기는 이진부호(binary code), BCD부호(binary-coded-decimal code), 기타 여러가지 부호들을 부호가 없는 형태로
    리포트 | 7페이지 | 1,500원 | 등록일 2015.04.12
  • 한글파일 실험 7. 복호기와 부호기 예비 보고서
    복호기와 부호기 (1) 그림 9는 3단 2진 카운터이다. 5와 6을 각각 디코딩하기 위한 logic diagram을 그려라(디코딩된 출력은 logic '1'이 되게 한다). ... 코드변환기의 동작 > < 심볼 > Excess-3 코드의 각 코드 값을 보수화하면 10진수 9의 보수로 만든 것과 같으므로 자기 보수 코드라 부르기도 한다. ... Excess-3 Gray 코드에서는 1비트만이 변함. - BCD-3 초과 코드 변환기 0~9의 입력을 4비트 BCD로 표현하고 이 값에 3이 더해 출력으로 나옴. < BCD-3초과
    리포트 | 4페이지 | 1,000원 | 등록일 2011.01.11
  • 한글파일 결과보고서 실험 7. 복호기와 부호기(Decoder & Encoder)
    실험 전 실험 강의 자료를 통해 복호기와 부호기(Decoder & Encoder)를 공부하였다. ... 복호기와 부호기(Decoder & Encoder) > < 목 적 > 카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 ... 주파수 발생기를 1Hz 정도로 하고 표시기를 관찰하라.
    리포트 | 8페이지 | 3,000원 | 등록일 2012.03.11
  • 한글파일 논리회로실험 복호기와 부호기(Decoder & Encoder)
    복호기와 부호기(Decoder & Encoder) Ⅰ. ... 또는 디코더 라고 하고 이와 반대로 10진수를 2진수 코드로 바꾸거나 입력 단자에 나타낸 정보를 2진 코드화하여 출력시키는 회로를 부호기 또는 인코더라 한다. (1) 복호기(Decoder ... ) ⇒ 복호기는 일반적으로 n-bit의 코드 입력과 2n의 코드 출력을 가지는 소자로서 하나의 코드 입력과 하나의 코드 출력을 1대 1로 대응시키는 기능을 가진다.
    리포트 | 6페이지 | 1,500원 | 등록일 2010.03.20
  • 한글파일 [전자]복호기와 부호기
    부호 변환기 복호기(decoder:디코더)와 부호기(encoder:인코더) 다중입력-다중 출력소자를 복호기라 총칭하고, 특정 기능에 따라 그 이름을 달리한다. ... 계수기 계수기(카운터) 계수기는 JK FF의 JK 입력을 같이 묵거나 T FF을 직렬 연결하여 만든다. ... 계수기는 2진과 5진 계수기를 이용하여 만든다. 5진 계수기는 FF 3개와 4개 즉 100 다음에 다시 0 즉 000으로 초기화 시키는 조합논리회로로 구성된다.
    리포트 | 2페이지 | 1,000원 | 등록일 2005.08.05
  • 한글파일 아주대_논리회로실험_예비7_복호기와 부호기
    복호기와 부호기 (Decoder & Encoder) 1.
    리포트 | 3페이지 | 1,000원 | 등록일 2010.04.04
  • 워드파일 [논리회로실험]실험7결과보고서 복호기와 부호기
    주파수 발생기를 1Hz 정도로 하고 표시기를 관찰하라. ... 후 두 핀을 다시 접지시킨다. 74HC42의 핀 6를 관찰하며 주파수 발생기로 펄스를 입력시킨다. 5번째 펄스에서 전압이 바뀌어야 한다. ... 스위치 box와 power supply를 이용하거나 주파수 발생기를 사용하여 단일 펄스를 내보낼 수 있게 조작한다. 7490의 핀 2, 3을 +5V에 연결하여 카운터를 reset시킨
    리포트 | 10페이지 | 1,000원 | 등록일 2010.04.12
  • 한글파일 아주대 논리회로실험 결과7-복호기와 부호기
    복호기와 부호기 (Decoder & Encoder) 1. ... 하지만 워크벤치를 이용한 시뮬레이션 결과 7-segement 표시기의 정확한 표시를 볼 수 있었다. ... 갖는 BCD 카운터 < 그림 8. 7-segement 표시기를 갖는 BCD 카운터 > ※ 토의 사항 이번 실험은 교재의 실험 회로의 오류로 정확한 실험 결과를 얻을 수 없었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.04.04
  • 워드파일 [논리회로실험]실험7예비보고서 복호기와 부호기
    Ⅰ. 목 적 카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다. Ⅱ. Review Question 그림 9는 3단 2진 카운터이다. 5와 6을 각각 디코딩하기 위한 logic diagram을 그려..
    리포트 | 4페이지 | 1,000원 | 등록일 2010.04.12
  • 한글파일 복호기와 부호기
    목 적 : 복호기와 부호기의 동작원리 및 특성을 확인하고 부호변환기의 동작을 살펴본다.
    리포트 | 22페이지 | 무료 | 등록일 1999.10.15
  • 한글파일 통신부호화 레포트-부호기 구현방안,(2,1,3)부호의 단위트레리스와 복호기 구현방안,경판정 시뮬레이션 BER(소스포함)
    경판정(hard decision) 복호화는 비터비 복호기 전단의 복조기/ 비트 검출기에서 이미 수신된 비트 시퀀스를 0또는 1로 결정합니다. ... 결과창 U={11 01 00 10 01 10 00 10 10 00 01 11} (그림1-2) 결과창 U 2. (2,1,3)부호의 단위트레리스와 복호기 구현방안 2-1. (2,1,3) ... 부호기 구현방안, m을 이용한 U 구하기 1-1.부호기 구현방안 수업 시간에 배운 내용을 바탕으로 하여 (2,1,3)부호의 SR 개수는 구속장 K-1이고 K=3이므로, SR은 2개가
    리포트 | 16페이지 | 1,000원 | 등록일 2013.12.07 | 수정일 2013.12.16
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업