• 캠퍼스북
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(582)
  • 리포트(561)
  • 자기소개서(9)
  • 시험자료(8)
  • 논문(3)
  • 방송통신대(1)

"디코더 이론" 검색결과 1-20 / 582건

  • 한글파일 [회로이론] 디코더
    출력은 디코더의 특성상 1개의 출력만이 결정이 되어야 하므로 각각의 입력에 대한 출력을 선택하였다. ... 결과 및 검토 클럭이 없는 경우의 디코더부터 코딩을 해 보았다. 일단 Ieee의 Library를 열어서 text file을 시작한다. ... sel이란 단자를 vector로 주 었다. 2 downto 0 벡터로 주었기 때문에 3비트의 값을 가지게 될 것이다. 3비트이면 8가지 형태의 입력이 가능하기 때문에 이것은 3×8 디코더
    리포트 | 5페이지 | 1,000원 | 등록일 2003.04.10
  • 한글파일 [회로이론] 인코더와 디코더
    [기본이론] 일상 생활에서 수는 10진수를 사용하고 있지만 디지털 시스템의 입·출력 데이터는 2진수로 0과 1을 사용한다. ... 인코더와 디코더 [목 적] 1. 인코더와 디코더의 원리를 이해한다. 2. 인코더와 디코더의 원리를 이해하여, 이를 응용하여 각종 코드를 만들 수 있 는 능력을 키운다. ... 디코더(Decoder) 디코더는 원래 암호를 해독하는 의미를 지니고 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2003.04.26
  • 한글파일 [회로이론] BCD to 7-세그먼트 디코더
    . ● 회로도 구성 { { => 이론에서 논의했던 회로도를 직접 구성하여서 wave form까지 살펴보았 다. 앞의 결과와 같은 결과를 가져왔다. 같은 전파지연까지 보였다. 4. ... 지난번 디코더를 배울 때 클럭이라는 개념을 배워서 앞으로 배우게 될 많 은 내용에서 클럭이라는 개념이 들어가게 된다고 한 것 같은데 이번시간 에 배운 세그먼트 디코더에는 클럭이 들어가지 ... 결과 & 검토 지난 시간의 디코더에 이어서 이번시간에는 7-segment Decoder를 실습 으로 했다.
    리포트 | 6페이지 | 1,000원 | 등록일 2003.04.10
  • 한글파일 8주차 예비 - 인코더와 디코더 회로
    실험이론 상태 혹은 명령들을 그에 대응하는 2진 정보로 변환하는 회로를 encoder라고 하며, 반대로 주어진 2진정보가 어떠한 상태 또는 명령으로 나타내는 회로를 decoder라고 ... 한다. (1) 디코더(Decoder) : 디코더는 코드화된 입력을 출력으로 변환하는 다중-입력, 다중-출력논리 회로이다. ... 디코더는 조합회로로서 n개의 binary입력 신호로부터 최대 2 ^{n}개의 출력신호를 만들 수 있다.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.10.01
  • 워드파일 부산대 어드벤처디자인 실험 7, 8 결과보고서(논리게이트)
    실험에 사용된 3:8 디코더의 반대역할을 하는 8:3의 논리 다이어그램과 진리표 작성 3. 2:4 디코더를 사용하여 3:8 디코더를 설계 ... 또한 74x138 디코더를 사용하여 아래의 함수를 실현하고 그 동작 상태를 점검하라. ... [실험 8] 8-4. 74X138은 출력이 active low 상태인 3:8 디코더이다. 그 동작을 점검하라.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.04.09
  • 워드파일 인코더와 디코더 회로 예비
    실험 이론(원리) 상태 혹은 명령들을 그에 대응하는 2진 정보로 변환하는 회로를 encoder(부호기)라고 하며, 반대로 주어진 2진 정보가 어떠한 상태 또는 명령으로 나타내는 회로를 ... 디코더(Decoder) 디코더는 코드화된 입력을 출력으로 변환하는 다중-입력, 다중-출력 논리회로이다. ... 또한 BCD-7 세그먼트 디코더는 BCD 숫자에 대해 4개의 입력과 선분을 선택하는데 사용되는 7개의 출력을 가지고 있으며, 디코더의 7개 출력(a, b, c, d, e, f, g)
    리포트 | 3페이지 | 1,000원 | 등록일 2022.04.21
  • 한글파일 실험5. 7세그먼트 디코더 예비보고서
    기초이론 2.1 BCD 이진화 십진법(Binary-coded decimal, BCD)은 이진수 네 자리를 묶어 십진수 한 자리로 사용하는 기수법이다. ... ▣ 실험5. 7-세그먼트 디코더 1. 실험 목적 본 실험을 통해 ■ BCD/7-세그먼트 디코더 드라이버에 대해 알아본다. 2. ... 디코더라고 구현된 함수블럭은 m ≤ 2n일 때 n-to-m라인 디코더라 명명된다. 그것의 목적은 n 입력 변수들로부터 2n개의 최소항들을(혹은 이보다 적은) 생성하는 것이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.05.26
  • 워드파일 광운대학교 전기공학과 1학년 실험7
    ◆관련 이론◆ ☑ Binary 코드 디지털 데이터를 다루는 데에 있어 코드라는 용어의 의미를 정확히 이해하는 것이 필요하다. ... 3) 위 2항의 회로를 74138 디코더와 7400 2INPUT NAND 게이트만을 사용하여 구현하는 회로도를 작성하라. 4) 74138 디코더를 2개 사용하여 4입력 16출력 디코더 ... 디코더와 인코더 전기공학과 ◆개요◆ 1. 코드의 개념을 이해하고 이진코드와 BCD코드가 무엇인지를 숙지한다. 2. 디코더의 원리와 구성방법을 이해한다. 3.
    리포트 | 9페이지 | 1,000원 | 등록일 2019.06.30
  • 한글파일 7세그먼트 디코더 실험보고서
    실험 예비보고 3.1 기초 이론의 식 (1)과 같이 실험 4의 [표 4-2] BCD/10진수 디코더의 부울 함수를 참고하여 7-세그먼트의 각 요소를 on 시키는 부울 함수를 모두 나타내라 ... 기초이론 2.1 7세그먼트 표시기 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다. 7세그먼트 표시기는 막대모양의 LED 7개를 8자 모양으로 ... 실험보고서 7-세그먼트 디코더 1. 실험목적 본 실험을 통해 BCD/7-세그먼트 디코더 드라이버에 대해 알아본다. 2.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.04.26
  • 한글파일 디지털회로실험 텀프로젝트 3비트 가산기를 이용한 7세그먼트 디스플레이
    기초 이론 1.1. 가산기 가산기에는 반가산기와 전가산기의 두 종류가 있다. 그리고 두 개의 반가산기를 이용하여 전가산기를 구성할 수 있다. 1.1.1. ... BCD/10진수 디코더 BCD/7-세그먼트 디코더 드라이버는 입력받은 BCD 코드로 7-세그먼트 디스플레이 소자의 적정 요소를 on 시켜, 0에서 9까지의 숫자를 표시하는 장치이다. ... 마찬가지로 다른 세그먼트에 대한 논리함수를 쓸 수 있다. 74LS47(7-세그먼트 디코더)7-세그먼트 LED 2. 가산기 입출력 설계 2.1.
    리포트 | 9페이지 | 10,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • 한글파일 [예비레포트] 숫자표시기와 7447, 응용
    이 숫자표시기 회로는 이후의 실험에서 숫자를 나타내기 위해 자주 등장하므로 이를 사용하는 회로의 구성과 사용법을 완벽하게 익혀 놓도록 한다. 2.관련이론 7-세그먼트 표시기(7-segment ... 숫자표시기는 보통 이를 구동하기 위한 전용 디코더와 함께 사용되는 경우가 많다. ... 이는 인코더나 디코더 IC의 출력이 부논리로 된 경우가 많은 이유이기도 하다.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.04.18
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서5
    예상 결과: 출력 결과는 이론과 같이 00일 때 D0=1, 01일 때 D1=1 10일 때 D2=1 11일 때 D3=1 로 출력될 것이다. ... Encoding)의 동작을 확인한다. (2x4 Decoder, BCD to Decimal Decoder, 인코딩-10진/Excess-3 코드, 8x3 Priority Encoder) 2) 실험이론 ... 디코더(decoder)는 코드 형식의 2진 정보를 다른 형식 의 단일 신호로 바꾸어 주는 회로이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • 한글파일 디지털 회로 실험-디코더와 인코더
    디코더와 인코더의 결합 회로의 입력에 따른 출력을 예상해보고 결과를 확인하고 디코더 회로를 직접 시뮬레이션 해보는 실험이다. 2) 결과와 이론 비교 : 실험1은 2x4 디코더 회로로 ... 토론(실험 내용 요약, 결과와 이론 비교, 실험결과 및 느낀 점 등) 1) 실험 내용 요약 : 디코더와 인코더의 동작원리를 이해하고 디코더와 인코더의 입력에 따른 출력을 예상해보고 ... 관계 이론 요약 인코더 : 인코더는 숫자나 문자를 2진수, BCD 그리고 16진수의 형태로 변환시키는 것을 말하며 일반적으로 입력되는 신호를 특정한 코드로 변환하는 논리회로를 의미한다
    리포트 | 10페이지 | 2,000원 | 등록일 2022.09.10
  • 한글파일 디지털 회로 실험 및 설계 - Encoder, Decoder 실험 1
    실험목표 ① 인코더의 회로 구성과 동작을 실험한다. ② 디코더의 회로 구성과 동작을 실험한다. 2. 관련이론 ? ... 디코더 - 기본 디코더인 2-to-4 디코더를 살펴보자. 밑의 사진은 2-to-4 디코더의 진리표이다. ... 이처럼 2비트 코드에 따라 4개의 출력 중에서 하나를 선택하는 디코더를 2-to-4 디코더라고 한다. - 이 디코더는 인코더와 반대로 코드에 따라 4개의 출력(X0 ~ X3) 중에서
    리포트 | 12페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 워드파일 BCD code, 세븐 세그먼트에 대한 이론 및 회로
    실험 제목 BCD code, 세븐 세그먼트에 대한 이론 및 회로 2. ... 디코더의 ABCD에 2진수형태로 0~9까지 입력하면 디코더는 자동으로 0~9를 디스플레이 해준다. 7세그먼트에는 Anode 형과 Cathode 형이 있는데 Anode형은 Low일 때 ... 하지만 BCD 디코더를 사용한다면 4개의 GPIO로 만으로도 7세그먼트를 동작 시킬 수 있다.
    리포트 | 5페이지 | 2,500원 | 등록일 2023.06.22
  • 한글파일 광운대학교 전기공학실험 실험8. 숫자표시기와 응용 결과레포트 [참고용]
    이때 디코더를 이용하여 BCD코드를 활용하고 여러 가지 디코더를 활용한 설계를 진행하여 숫자표시기-디코더 조합의 사용법을 완벽하게 익힌다. 3. ... 참고문헌 - 전기공학실험 서적: 이론 및 그림 참고 - https://www.youtube.com/watch?v=oIQw_bOCLNk :7세그먼트 디코더 구동방식, 종류 참고 ... (2개) 인코더 74LS148 (1개) 7-segment 디코더 74LS47 (1개) 스위치(9개) 저항, 트랜지스터, LED 다수 5.
    리포트 | 9페이지 | 1,500원 | 등록일 2024.01.02
  • 한글파일 디지털 논리회로 실험 3주차 Encoder와 Decoder 예비보고서
    실험 이론(1)Decoder 디코더(decoder)란 n비트의 2진 코드(code) 값을 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 주는 조합 회로를 말한다. ... 그림 3-2는 2-to-4 디코더의 또 다른 형태로 디코더이다. ... 그림 3-2의 디코더에 대한 진리표와 그림 3-1의 디코더에 대한 진리표를 비교해 볼 때 출력 값이 0은 1로, 1은 0으로 바뀌었음을 알 수 있는데, 이 디코더 역시 입력 값의 각
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22
  • 한글파일 실험4. 인코더와 디코더 예비보고서
    기초이론 2.1 BCD 이진화 십진법(Binary-coded decimal, BCD)은 이진수 네 자리를 묶어 십진수 한 자리로 사용하는 기수법이다. ... 인코더와 디코더 1. 실험 목적 본 실험을 통해 ■ 10진수/BCD 인코더와 디코더에 대해 알아본다. 2. ... 디코더라고 구현된 함수블럭은 m ≤ 2n일 때 n-to-m라인 디코더라 명명된다. 그것의 목적은 n 입력 변수들로부터 2n개의 최소항들을(혹은 이보다 적은) 생성하는 것이다.
    리포트 | 4페이지 | 1,500원 | 등록일 2022.05.26
  • 한글파일 부산대 어드벤처디자인 결과보고서 8주차 A+보고서 1등보고서
    실험 이론 멀티플렉서(MUX)란 n개의 선택선의 조합에 선택된 2^n개의 입력선 중에서 하나를 선택하여 출력선에 연결시켜 주는 회로이다. ... 실험 내용 1) 게이트를 사용하여 2:4 디코더를 실현하고 그 동작을 점검하라. 실현된 2:4 디코더를 사용하여 아래의 함수를 실현하고 그 동작 상태를 점검하라. ... F(A,B)=A'B+AB' 2)NOR 게이트를 사용하여 1:2 디코더를 설계하라. 5.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.03.24
  • 한글파일 디지털 회로 실험 및 설계 - 74LS47 Driver를 이용한 7-Segment 실험 1
    관련이론 ? 7-segment는 7개의 마디와 1개의 점으로 이루어진 표시장치이다. ... 7447 디코더 - 7447 디코더는 BCD 코드를 10진수로 바꾸어 7-segment에 표시해 준다. ... 실험목표 ① 7-segment의 구조를 살펴보고, 동작을 실험한다. ② 7447 디코더의 동작을 살펴보고, 동작을 실험한다. ③ 7-segment와 7447 디코더로 구성한 10진수
    리포트 | 13페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업