• LF몰 이벤트
  • 캠퍼스북
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,794)
  • 리포트(1,693)
  • 시험자료(45)
  • 자기소개서(25)
  • 방송통신대(23)
  • 논문(8)

"디코더" 검색결과 161-180 / 1,794건

  • 한글파일 7-세그먼트 디코더 디지털회로실험 예비보고서
    디지털회로실험 사전보고서 -Lesson 6 7-세그먼트 디코더 1. 7-세그먼트 디코더 회로 동작 확인 7-세그먼트 디코더 datasheet - 7-세그먼트는 0부터 9까지의 숫자 ... 결론 및 토의 이번실험은 디코더 회로를 가지고 10가지의 서로다른 숫자모양을 출력하는 7-세그먼트의 출력을 확인해보는 실험이다. ... 디코더는 입력이 n비트일 때 서로다른 출력 개를 가지게 된다. 7-세그먼트외로를 구성할 때 4번입력(A)의 not게이트가 사용되지 않고 필요한 출력의 개수는 0부터 9까지 총 10개의
    리포트 | 5페이지 | 1,000원 | 등록일 2010.05.23
  • 한글파일 논리회로실험 디코더 결과보고서
    실험제목 : 디코더 (결과보고서) 1. ... 예비조사 및 실험 내용의 이해 1.1 디코더 디코더(decoder)란 n비트의 2진 코드(code) 값을 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 주는 조합 회로를 말한다 ... 실험내용 및 결과 2.1 디코더 2.1.1 자료흐름 모델링 ?
    리포트 | 7페이지 | 1,000원 | 등록일 2008.01.14
  • 한글파일 실험4.디코더인코더 및 다중화기역다중화기 7세그먼트 디코더
    디코더/인코더 및 다중화기/역다중화기, 7세그먼트 디코더 학 번 2003040520 성 명 우 재 홍 관련이론 ○ 디코더(Decoder) 디코더(decoder)란 n비트의 2진 코드 ... . 2-to-4 디코더(Ⅰ) 2-to-4 디코더의 또 다른 형태로 와 같은 디코더가 있다. ... 의 디코더에 대한 진리표와 의 디코더에 대한 진리표를 비교해 볼 때 출력 값이 0은 1로, 1은 0으로 바뀌었음을 알 수 있는데, 이 디코더 역시 입력 값의 각
    리포트 | 6페이지 | 1,500원 | 등록일 2008.05.25
  • 한글파일 led와 7-세그먼트(결과) 인코더/디코더 코드 변화기, 멀티플렉서와 디멀티프렉서 (예비)
    납땜기구 디지털 회로 실험 8, 9 예비보고서 ● 실험 8 인코더/디코더와 코드변환기 - 실험의 주요 주제 1) 인코더와 디코더의 동작 원리를 이해한다. 2) 인코더와 디코더의 구성방법을 ... . - 7-세그먼트 - 3) BCD 7-세그먼트 디코더/드라이버 BCD(Binary Code Decimal) 7-세그먼트 디코더/드라이버는 4비트 BCD 입력을 받은 후 적절한 세그먼트를
    리포트 | 12페이지 | 1,000원 | 등록일 2014.04.20
  • 한글파일 엔코더와 디코더 결과
    상충된 역할을 하는 엔코더와 디코더의 실험 하나하나 들춰보면 실험 1에서는 NOT과 AND게이트만을 사용하여 회로를 구성하는 비교적 간단한 실험이었다.다른 4개의 입력값을 주어 그 ... 디코더는 코드화된 입력을 출력으로 변환하는 다중-입력, 다중-출력 논리회로이고, 인코더는 10진식이나 8진식의 입력 정보를 받아들여 2진식이나 BCD같은 코드로 변환시키는 조합회로이다 ... 6 c d e f g 0 1 1 0 7 a b c 0 1 1 1 8 a b c d e f g 1 0 0 0 9 a b c f g 1 0 0 1 ◎ 실험 고찰 이번 실험은 엔코더와 디코더
    리포트 | 6페이지 | 1,000원 | 등록일 2004.03.20 | 수정일 2014.08.20
  • 한글파일 엔코더와 디코더 예비
    엔코더와 디코더 회로 예비 1.디코더(Decoder) ⇒ 주어진 2진 정보가 어떠한 상태 또는 명령을 나타내는 회로 a 특징 : 코드화된 입력을 출력으로 변환하는 다중-입력, 다중-출력 ... 논리회로 b 기능 : 디코더는 조합회로로서 n개의 Binary 입력신호로부터 최대 2n개의 출력신호를 만든다. ... BCD to 7-Segment 디코더에 대해 설명하라. ☞ BCD to 7-Segment는 일종의 코드 변환기이다.
    리포트 | 4페이지 | 1,500원 | 등록일 2004.03.20 | 수정일 2014.08.20
  • 파워포인트파일 디코더, 인코더, 다중화기, 역다중화기, 7세그먼트 디코더
    디코더/인코더 및 다중화기/역다중화기 :7세그먼트 디코더 목차 1. 디코더 (Decoder) 2. 7-세그먼트 디코더 제목 3. 7-세그먼트 2. ... 그래서 캐소드를 접지 시키고, 다이오드의 애노 드단자에 5V를 주면 전류가 흐른다. 7-세그먼트 디코더 7-세그먼트 디코더 7-세그먼트 디코더 질문과 답변 Question Answer ... 인코더(Incoder) 디코더 (Decoder) 디코더는 n개의 2진 코드나 BCD (Binary Coded Decimal) 코드등을 입력하여 부호가 없는 형태로 바꾸어 최대 2^n개의
    리포트 | 12페이지 | 1,000원 | 등록일 2007.01.20
  • 한글파일 [기초회로실험]실험12.디코더, 엔코더 및 멀티플렉서(결과보고서)
    실험 #12 디코더, 엔코더 및 멀티플렉서 < 실험 > (1) 다음의 소자에 대하여 진리표를 실험적으로 구하라. ... 위의실험은 소자 7442 IC는 4개의 입력 신호(4비트 이진수 입력)를 받아 10개의 출력 선 중에 한개를 선택하여 번호를 나타내는 단자를 LOW로 출력하는 Active Low 형식의 디코더이다 ... Y3 H X X H H H H L L L L H H H L L H H L H H L H L H H L H L H H H H H L 고찰 : 74LS139 IC는 2입력 4출력의 디코더이다
    리포트 | 6페이지 | 1,000원 | 등록일 2011.11.18
  • 한글파일 논리회로실험- 디코더 예비보고서
    디코더(binary decoder) 이다. ... 디코더 -목적 카운터의 디코딩의 코드변환 동작에 관해 알아본다. ... 디코더 회로의 일반적인 구조는 다음의 그림과 같다. 만약 enable 입력이 존재한다면, 디코더가 정상적인 함수를 수행하기 위해서 enable 입력이 활성화 되어야 한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2008.04.30
  • 한글파일 멀티플렉서, 디멀티플렉서, 디코더 및 인코더 구조
    진리표 (4 x 2 디코더) 입력신호 출력신호 A B 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 1 0 1 1 0 0 0 1 2) 디코더 회로 (4 x 2 디코더) ... 실험목적 (1) 연산 회로의 기본인 멀티플렉서, 디멀티플렉서, 디코더 및 인코더 구조를 이해하고 동작 특성을 확인한다. (2) 기본 게이트들을 사용하여 멀티플렉서 및 디멀티플렉서를 ... NC는 사용하지 않는 핀이며 8421 BCD코드에서 A는 1, B는 2, C는 4, D는 8에 해당된다고 할 수 있다. (3)디코더(Decoder) - 인코더와 반대되는 개념으로써
    리포트 | 8페이지 | 1,500원 | 등록일 2008.04.15
  • 한글파일 [공학기술]디코더와 인코더 예비레포트
    일반적으로 디코더는 n개의 입력선과 최대 2n개의 출력선을 가지며, 입력 값에 따라 선택된 하나의 출력선이 나머지 출력선들과 반대값을 갖는다. => 2×4 디코더디코더는 입력이 ... 디코더(decoder) 디코더(decoder)란 n비트의 2진 코드(code) 값을 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 주는 조합 회로를 말한다. ... 예를 들면, 3×8 디코더에서 인에이블 입력이 "0"이면 모든 출력이 "0"이 되고, 인에이블 입력이 1일 때 디코더는 정상적인 동작을 하게 된다. 2.
    리포트 | 2페이지 | 1,000원 | 등록일 2007.05.27
  • 파일확장자 디코더와 MUX 예비, 결과레포트, velilog 파일 첨부 포함
    디코더와 MUX 예비, 결과레포트, velilog 파일 첨부 포함 베릴로그 파일 원본까지 모두 첨부해서 했습니다.
    리포트 | 1,000원 | 등록일 2008.11.27
  • 파워포인트파일 [디지털실험]10진수디코더드라이버
    그런 소자를 일반적으로 디코더(decoder)라고 한다. ● ..PAGE:8 BCD 디코더 드라이버 ..PAGE:9 7445 data sheet ... ..PAGE:1 BCD 10진수 디코더 드라이버 ..PAGE:2 차 례 1. 8421 2진수로 코드화된 10진수 2. 8421 BCD 진리표 3. 8421 BCD 디코더 4. 7445 ... data sheet ..PAGE:3 실험 목적 8421 BCD 코드를 학습한다. 8421 BCD 디코더의 연산을 학습한다. ..PAGE:4 8421 2진수로 코드화된 10진수(8421
    리포트 | 9페이지 | 1,500원 | 등록일 2006.03.23
  • 파일확장자 [Flowrian] Mod-10 인코더 & 디코더 회로의 Verilog 설계 및 시뮬레이션 검증
    Behavior 형식 Mod-10 디코더 회로의 Verilog 설계 및 검증5. Structure 형식 Mod-10 디코더 회로의 Verilog 설계 및 검증6. ... Mod-10 인코더 & 디코더 회로의 사양2. Behavior 형식 Mod-10 인코더 회로의 Verilog 설계 및 검증3. ... Mod-10 인코더 & 디코더 회로의 동작은 Verilog 언어가 제공하는 두가지 방식, Behavior 와 Structure 관점에서 전가산기의 논리동작을 모델링한다.Verilog
    리포트 | 17페이지 | 1,000원 | 등록일 2011.12.08
  • 한글파일 디코더&인코더 에 관한 논리회로 실험 결과 보고서
    -디코더와 인코더- Clock A A' B B' A'B' AB' A'B AB ⇒이 실험은 2단 2진 카운터로써 IC7476과 NAND GATE IC7420으로 ... 시뮬레이션에서의 결과가 나왔기 때문에 이 회로는 정상적인 동작을 했다는 것을 확인했다. Clock Decimal 0 Decimal ... 5 Decimal 7 ⇒위 실험은 10진 디코더를 갖춘 BCD카운터를 구성하여 0, 5, 7에서 파형을 그린결과이다. 7490칩과 7442칩을 이용하였는데, 여기서 7490은 NBCD
    리포트 | 9페이지 | 1,000원 | 등록일 2010.11.30
  • 한글파일 영문자를 나타내는 7-segment 디코더
    디코더의 출력포트 값에 의해 제어되는 7 세그먼트 표시기는 각 세그먼트 별로 7개의 입력포트가 있다. 7 세그먼트의 동작을 간략히 도시하면 아래 그림과 같다. ◆ 7 세그먼트 디코더의 ... 영문자를 나타내는 7-Segment 디코더 ◆ 설계를 위한 동작 및 포트구상 알파벳 소문자 a부터 h까지를 딥스위치로 설정하기 위해서는 2³의 수를 표현할 수 있는 3비트가 필요하므로
    리포트 | 4페이지 | 2,000원 | 등록일 2007.12.01
  • 한글파일 [논리회로]인코더와 디코더
    인코더와 디코더 [목적] 1. 인코더와 디코더의 원리를 이해한다. 2. 인코더와 디코더의 원리를 이해하여, 이를 응용하여 각종 코드를 만들 수 있는 능력을 키운다.. ... 디코더 디코더(decoder : 복호기)는 원래 암호를 해독하는 의미를 지니고 있다. ... 원리를 이해하는 실험으로서 인코더의 10진수 데이터를 2진수의 데이터로 변환하고, 디코더는 인코더와의 반대로 2진수를 원래의 상태로 변화시켜 독립적인 서로 다른 출력선으로 변환하는
    리포트 | 6페이지 | 1,500원 | 등록일 2004.08.27
  • 한글파일 3-8 decoder verilog code (+test bench code), 3to8 decoder. 3-8 디코더
    module TTL74137 (G1, G2n, GLn, A, B, C, Y0, Y1, Y2, Y3, Y4, Y5, Y6, Y7); input G1, G2n, GLn, A, B, C; output Y0, Y1, Y2, Y3, Y4, Y5, Y6, Y7; reg Y0, Y..
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.13
  • 파일확장자 convolutional encoder와 viterbi decoder 구현 with C(컨볼루셔널 엔코더와 비터비 디코더를 C로 구현)
    convolutional encoder와 그에 따른 viterbi decoder를 구현한 것입니다. convolutional encoder에 대한 정보는 아래 나타나 있고 엔코더와 디코더
    리포트 | 1페이지 | 2,000원 | 등록일 2014.01.14
  • 한글파일 VHDL을 이용한 2X4디코더, 4X2인코더 실험보고서
    REPORT 1. 2x4 디코더(복호기) entity decoder2x4 is port(A : in std_logic_vector(1 downto ... LOC="P63"; NET Y LOC="P139"; NET Y LOC="P138"; NET Y LOC="P136"; NET Y LOC="P135"; 2X4 디코더 ... 2> LOC="P63"; NET X LOC="P62"; NET X LOC="P61"; NET Y LOC="P139"; NET Y LOC="P138"; 2X4 디코더
    리포트 | 9페이지 | 2,000원 | 등록일 2011.06.22
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업