• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(9,243)
  • 리포트(8,825)
  • 자기소개서(330)
  • 시험자료(41)
  • 논문(25)
  • 방송통신대(12)
  • 이력서(4)
  • 서식(3)
  • ppt테마(3)

"디지털 회로 실험" 검색결과 161-180 / 9,243건

  • 한글파일 디지털 논리회로 실험 7주차 JK-FlipFlop 예비보고서
    실험 이론디지털 시스템에서 클럭 (clock) 신호에 의해 각종 논리신호가 동작되는데, 플립플롭 역시 이 신호에 동기 되어 동작한다. ... 실험 목적S-R Latch와 J-K Flip-flop의 동작 원리를 살펴본다.2. ... 이 장에서 플립플롭의 종류와 설계방법을 알고, 플립플롭의 동작특성을 이해하는 실험을 한다. (1) SR 플립플롭 SR 플립플롭의 내부 구조를 그림 5-2에 나타내었다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.04.22
  • 한글파일 디지털 논리회로 실험 8주차 D-FlipFlop 결과보고서
    디지털 논리회로 설계 및 실험 결과보고서 주제 : D-FlipFlop 소속: 공과대학 전자전기공학부 수업: X X,X XXX 교수님 XXX 조교님 제출 일자: 20XX년 X월 XX일 ... 응용실험 (1), (2)의 회로는 상승 에지에서만 값이 변화하는 공통된 특징을 가지고 있는데 응용실험 (1)의 회로는 CLK 외에 T라는 입력값을 가지고 있어 T의 값이 0이면 Q의 ... 이번 실험에서는 순서회로인 Latch와 Flip-Flop 중 Gated D Latch와 D FLIP-FLOP, T FLIP-FLOP에 대해 알아보고 이를 응용한 회로를 구현해보았다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.04.22
  • 한글파일 디지털 논리회로 실험 1주차 기본 논리 게이트 예비보고서
    디지털 논리회로 설계 및 실험 예비보고서 주제 : 기본 논리 게이트 (AND, OR, NOT Gate) 소속: 공과대학 전자전기공학부 수업: 수2,3 XXX 교수님 XXX 조교님 제출 ... High와 Low로 이루어진 Digital signal을 Input으로 넣었을 때 이것들을 조합하여 우리가 원하는 Output Digital signal을 만들 수 있는 회로이다. ... Floyd, 최신 디지털 공학 제 10판, Pearson, p114-126 관련 링크 : http://ocw.dongyang.ac.kr/cms_ocw/elec
    리포트 | 8페이지 | 1,500원 | 등록일 2020.09.19 | 수정일 2020.09.24
  • 한글파일 디지털 회로 실험 및 설계 - 부울대수와 카르노맵, RS Flip Flop 실험 1
    디지털회로실험및설계 예비 보고서 #2 ( 부울대수와 카르노맵, RS Flip-Flop 실험 ) 과 목 담당교수 제 출 일 학 번 이 름 1. ... 플립플롭 - 플립플롭은 전원이 공급되면 1 또는 0의 출력이 유지되는 디지털 회로이다. ... 맵을 묶는 방법에 따른 차이를 실험으로 확인한다. ⑤ RS 플립플롭의 회로 구성과 동작을 실험한다. 2.
    리포트 | 10페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 한글파일 디지털 회로 실험 및 설계 - 기본 논리 게이트(Gate) 및 TTL, CMOS I.F 실험 2
    디지털회로실험및설계 결과 보고서 #1 ( 기본 논리 Gate 및 TTL, CMOS I/F 실험 ) 과 목 담당교수 제 출 일 학 번 이 름 1. ... 회로도, 이론값, 실험결과 실험 1) 전압 Level 측정실험 : 입력전압 변화에 따른 출력전압의 상태를 측정하고 기록하시오. ... 실험하며 측정했던 전류의 값도, 디지털 멀티미터에 표시된 계속해서 바뀌는 전류의 값에서 소수점 한 ~두자리까지만 보고 대략적인 값을 측정한 것이다. - 둘째로, 점퍼선에서도 저항은
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 한글파일 디지털 회로 실험 및 설계 - 부울대수와 카르노맵, RS Flip Flop 실험 2
    디지털회로실험및설계 결과 보고서 #2 ( 부울대수와 카르노맵, RS Flip-Flop 실험 ) 과 목 담당교수 제 출 일 학 번 이 름 1. ... 회로도, 이론값, 실험결과 실험 1) 다음 회로를 시뮬레이션하고 표를 완성하라. 또한, 카르노 맵을 이용하여 각각의 논리식을 구하시오. ... 실험 3-1) RS Flip-Flop 1. 다음 회로를 시뮬레이션하고 표를 작성하시오.
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 8. Multiplier Design 결과 보고서
    Vranesic, 'Fundamentals of Digital Logic with VHDL Design', McGrawHill 2) 디지털 논리 회로 실험 매뉴얼, 서강대학교 전자공학과 ... 디지털논리회로실험(EEE2052-01) 서강대학교 전자공학과 2017년 2학기 결과레포트 실험8. Multiplier Design 1. ... 실험개요 1) 4비트 곱셈기의 구조와 원리를 이해한다. 2) 팀 단위로 디지털 회로 설계하는 방법을 이해 한다 2. 퀴즈 답안지 및 정답 -퀴즈 없음 3.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 (기초회로디지털실험) 16진 동기 및 비동기 카운터 설계
    실험을 통해 동작결과를 검증한다. 3번 회로도에서 동작결과를 보면 첫 번째 회로는 동기식 카운터로 되고 And Gate가 카운터의 역할을 수행한다. ... 따라서 이러한 결과를 보았을 때 회로 설계 및 구성이 잘 되었고 값 또한 만족스러운 것 같다. 5. 실험 결과를 바탕으로 결과 보고서를 작성한다. ... [동기식 카운터] 실험을 하기 전에 MAXPLUS II 라는 프로그램을 사용하여 회로를 먼저 구성한다. 2진수를 뜻하는 출력 4개로 16진수를 나타내며, 동기식 카운터이므로 모든 플립플롭이
    리포트 | 7페이지 | 1,500원 | 등록일 2021.07.13 | 수정일 2022.02.16
  • 한글파일 디지털회로실험 텀프로젝트 3비트 가산기를 이용한 7세그먼트 디스플레이
    회로도 및 구성 ※ 패턴도 (점프선 3개 사용) ※ 회로구성 (기판 앞) ※ 회로구성 (기판 뒤) 4. 실험 결과 5. ... 디스플레이 출력이 제대로 나왔고 이번 실험을 통해 회로 구상과 설계뿐만 아니라 실질적인 작업의 숙련도 또한 중요하다고 느꼈다. ... 앞서 실험실 개방 때 회로도가 제대로 작동함을 확인하였지만 막상 텀 당일에 설계해보니 납땜이 미숙하여 시간이 오래 걸렸고, 점프선을 작게 사용하여 패턴도를 작성하다보니 작업 시 생각보다
    리포트 | 9페이지 | 10,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • 파일확장자 두더지 잡기 verilog with BGM ( A+ 프로젝트 결과물, 논리회로실험, 디지털시스템실험 )
    디지털 시스템 실험 ( 논리 설계 실험 ) 최종 프로젝트 과제입니다. 두더지 잡기 게임이며, 게임 시작과 동시에 음악도 재생됩니다. A+ 받았으며 퀄리티 보장합니다.
    리포트 | 1페이지 | 10,000원 | 등록일 2020.11.14 | 수정일 2020.11.19
  • 파일확장자 VHDL과 FPGA 실습 키트를 이용한 묵찌빠 게임 [디지털논리회로 실험 Term Project]
    세계적인 추세에 힘입어 우리는 묵지빠 게임에 인공지능을 넣고 실험 키트를 이용하여 게임을 하 면 재밌을 것이라 생각하게 되었고, ‘Alpha 묵지빠 2017‘을 기획하게 되었다 ... 실험 키트를 통한 데이터 학습이 가능하지 않아 초기에 기획한 대로 진행되지는 않았지만 인공지능의 기초인 ‘규칙 기반 모 델’ 을 사용하여 묵찌빠 게임을 구현하였다.
    리포트 | 28페이지 | 4,000원 | 등록일 2020.04.24 | 수정일 2020.04.27
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    디지털논리회로실험 결과 보고서 [3주차] 실험 3. Decoders and Encoders 1. ... , 2009 2) 서강대학교 전자공학과, 디지털 논리회로 실험, 서강대학교, 2017 ... 디지털 회로설계 교재의 내용과는 달리 소자의 입력과 출력이 모두 active low라는 점에 유의할 필요가 있다.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    디지털논리회로실험 예비 보고서 [3주차] 실험 3. Decoders and Encoders 1. ... , 2009 2) 서강대학교 전자공학과, 디지털 논리회로 실험, 서강대학교, 2017 ... 참고문헌 1) Stephen Brown & Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design, 3판, McGraw-Hill
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 7. Finite State Machines 예비 보고서
    디지털논리회로실험 예비 보고서 [8주차] 실험 7. Finite State Machines 1. ... , 2009 2) 서강대학교 전자공학과, 디지털 논리회로 실험, 서강대학교, 2017 3) 74LS76 데이터 시트 ... 참고문헌 1) Stephen Brown & Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design, 3판, McGraw-Hill
    리포트 | 12페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 7. Finite State Machines 결과 보고서
    디지털논리회로실험 결과 보고서 [8주차] 실험 7. Finite State Machines 1. ... , 2009 2) 서강대학교 전자공학과, 디지털 논리회로 실험, 서강대학교, 2017 ... 실험 결과 및 분석 1) 중간고사 1-(a)번의 회로를 schematic으로 구현하여 시뮬레이션 이번 실험에서는 schematic으로 그린 회로를 키트에 FPGA로 구현하지 않고,
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 디지털논리회로실험(Verilog HDL) -BCD counter, HEELO shifter
    Each digit should be displayed for about one second. ... that successively flashes digits 0 through 9 on the 7-segment display HEX0. ... Part Ⅳ : BCD 카운터 설계◉실험목적 : 50-MHz clock을 사용하여 counter를 구현해본다.(1) SummaryDesign and implement a circuit
    리포트 | 6페이지 | 1,000원 | 등록일 2019.08.29
  • 한글파일 서강대학교 고급전자회로실험 - 실험 8. Digital filter design 결과 보고서
    고급전자회로 실험 결과 보고서 실험 8. Digital filter design 분반 학번 이름 조 학번 이름 시작 종료 실험시작/종료시간 기재(통계 목적임) 1. ... 실험. (1) 실험 1 1.1 다음의 filter를 제작하시오. ... 입력에 대해 디지털 필터를 이용하여 데이터 시퀀스를 필터링한다.
    리포트 | 12페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 9. Memory Elements : ROM/RAM 결과 보고서
    , 2009 2) 서강대학교 전자공학과, 디지털 논리회로 실험, 서강대학교, 2017 3) 서강대학교 전자공학과, 디지털 논리회로 실험 (구 교재), 서강대학교, 2017 ... 디지털논리회로실험 결과 보고서 [11주차] 실험 9. Memory Elements : ROM/RAM 1. ... 실험 노트 : 별첨 #2 4. 실험 결과 및 분석 1) 과정 1~4 실험 키트의 ROM에 저장된 데이터를 확인하였다. 회로는 [그림 1], [그림 2]와 같다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 4. Multiplexer, Demultiplexer and Comparator 예비 보고서
    디지털논리회로실험 예비 보고서 [4주차] 실험 4. Multiplexer, Demultiplexer and Comparator 1. ... , 2009 2) 서강대학교 전자공학과, 디지털 논리회로 실험, 서강대학교, 2017 3) 74LS125 data sheet 4) 74LS86 data sheet ... [그림 19] [그림 20] 4) Exclusive-OR 회로 구현 실험에서는 [그림 11]의 회로 대신 NAND gate를 사용하여 [그림 21]과 같이 회로를 구현한다.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업