• LF몰 이벤트
  • 파일시티 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(9,244)
  • 리포트(8,826)
  • 자기소개서(330)
  • 시험자료(41)
  • 논문(25)
  • 방송통신대(12)
  • 이력서(4)
  • 서식(3)
  • ppt테마(3)

"디지털 회로실험" 검색결과 101-120 / 9,244건

  • 한글파일 디지털 논리회로 실험 5주차 Adder 예비보고서
    실험 이론(1) 2진 연산2진수 시스템은 디지털 시스템의 기본이다. 2개의 2진 비트 A, B의 덧셈을 생각해 보자. ... 실험 준비- 4.1 기본 실험 (2)의 전가산기 [그림 2]가 반가산기 [그림 1] 두 개와 하나의 OR 게이트로 이루어져 있음을 설명하시오. ... 실험 목적이진 덧셈의 원리를 이해하고 반가산기(half adder)와 전가산기(full adder)의 동작을 확인한다.2.
    리포트 | 9페이지 | 1,500원 | 등록일 2021.04.22
  • 한글파일 디지털 논리회로 실험 10주차 Counter 예비보고서
    실험 이론지금까지 다루었던 논리회로들은 입력의 조건에 따라서 출력이 결정되는 “조합 논리회로”들이었다. ... 그러나, 실제로 디지털 논리 회로를 적용하게 되면 시간에 따라 움직이는 조건을 고려하지 않을 수 없게 된다. ... 즉, “입력 펄스의 개수를 기억해서 저장, 숫자를 세는 것”(count)이 중요하게 되는데, 이러한 기능을 가진 디지털 회로를 카운터 회로 라고 말한다.(1) 비동기식 업 카운터그림
    리포트 | 14페이지 | 1,500원 | 등록일 2021.04.22
  • 한글파일 (기초회로디지털실험) 비 안정 멀티바이브레이터의 설계
    기본 실험이론 비 안정 멀티 바이브레이터(Unstable Multi Vibrator) 비 안정 멀티 바이브레이터는 안정되지 않는 두 개의 다른 상태를 갖은 스위칭 회로이다. ... 멀티 비안정 바이브레이터 회로 예시 멀티 비안정 바이브레이터 회로 도안 Pspice 프로그램을 사용하여 도안2 회로를 구상해 본 후 시뮬레이션한 결과 비 안정 멀티바이브레이터의 예시회로를 ... 실험조건에 만족하는 비 안정 멀티바이브레이터를 설계했기 때문에 설계 실험은 성공적이라 볼 수 있다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.07.13 | 수정일 2022.02.16
  • 한글파일 디지털 논리회로 실험 4주차 Multiplexer 예비보고서
    디지털 논리회로 설계 및 실험 예비보고서 주제 : Multiplexer 소속: 공과대학 전자전기공학부 수업: X X,X XXX 교수님 XXX 조교님 제출 일자: 20XX년 X월 XX일 ... 디지털 멀티플렉서는 많은 입력선들 중에서 하나를 선택하여 출력선에 연결하는 조합회로이다. ... 그림 4-1은 4-to-1 멀티플렉서의 회로도와 진리표이다.
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22 | 수정일 2022.04.17
  • 한글파일 디지털 논리회로 실험 4주차 Multiplexer 결과보고서
    동작하는 원리를 자세히 서술하시오.이번 실험에서는 멀티플렉서와 디멀티플렉서의 회로를 구현하여 각각의 특성들을 알아보았다. ... 기본실험 4.1.1은 4-to-1 Multiplexer 74153 회로를 구성하고 입력값에 따른 출력값을 알아보는 실험이었다. 4-to-1 Multiplexer는 선택 조건의 값에 ... 실험 과정 및 실험 결과4.1. 기본 실험(1) 4-to-1 Multiplexer 74153을 결선하여 아래 진리표를 작성하시오.
    리포트 | 8페이지 | 2,000원 | 등록일 2021.04.22
  • 한글파일 디지털 논리회로 실험 6주차 ALU 예비보고서
    실험 목적4-bit 논리연산장치 (ALU: Arithmetic Logic Unit)에 대해 이해한다.2. ... 실험 이론(1) 연산 연산이란 컴퓨터의 외부로부터 입력되는 자료, 기억 장치 내에 보관된 자료, 중앙 처리 장치(CPU) 내의 기억 장치인 레지스터에 보관된 자료 등을 산술 논리 연산
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22
  • 한글파일 디지털 논리회로 실험 6주차 ALU 결과보고서
    모든 실험회로가 동일하였기 때문에 회로를 구성하고 문제에 따라 값만 다르게 입력하면 되는 것이여서 이전의 어떤 실험들에 비해 더 간편하고 빠르게 실험을 진행 할 수 있었다. ... 기본 실험 4.1.2은 XOR 기능을 이용하여 두 이진수 값을 비교하는 실험이다. ... 값을 받아 그 값들을 비교해보는 실험을 하였다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.04.22
  • 워드파일 디지털 논리회로 실험 및 설계 4주차 예비보고서
    디지털 논리실험 및 설계 4주차 예비보고서 실험 준비 1.1 멀티플렉서와 부호기(encoder)의 차이를 설명하시오. ... 이 1, I가 1일 경우엔 EN이 0이므로 회로가 작동하지않아 모든 결과값이 1이 나올 것이다. 2.3 응용실험 (1) , , 를 각 bit라고 생각했을 때, 가 0이면 3bit의 ... I= 로 했을 때, 74139는 EN이 1이 입력되어야 회로가 정상작동하기에 , I가 0일 경우에 S에 따른 번호의 출력값에서 입력값 이 출력 될 것이다. , 일 경우엔 에, , 일
    리포트 | 5페이지 | 2,000원 | 등록일 2023.01.31
  • 워드파일 서강대학교 디지털논리회로실험 레포트 10주차
    디지털논리회로실험 10주차 결과레포트 DAC/ADC와 One shot 1. 실험 제목: DAC/ADC와 One shot 2. ... 실험 목적: 1) Digital-to-analog 변환(DAC) -digital 신호를 analog 신호로 변환하는 회로의 동작 원리를 이해한다. ... -DAC IC의 구동 방법을 배운다. 2) Analog-to-digital 변환(DAC) -analog 신호를 digital 신호로 변환하는 회로의 동작 원리를 이해한다.
    리포트 | 26페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 워드파일 서강대학교 디지털논리회로실험 레포트 5주차
    5주차 결과레포트 비교 및 연산 회로 1. 실험 제목: 비교 및 연산 회로 2. ... 실험 목표: (1) Exclusive-OR 회로를 이용한 비교회로의 구현 및 동작원리 이해 (2) 기본 gates를 이용한 half-adder 및 full-adder의 구현 및 동작원리 ... 이진수는 두 개의 숫자만을 이용한 수 체계로 0과 1을 기호로 사용하며 디지털 신호에 기본적으로 사용된다.
    리포트 | 25페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 워드파일 서강대학교 디지털논리회로실험 레포트 3주차
    우리 조가 첫 번째 실험을 할 때 결과가 다르게 나와 다시 실험하였는데, 저항이나 인덕터 캐패시터와는 다르게 디지털에서는 회로를 조금만 잘못 짜더라도 완전히 다른 결과값이 나와 버리기 ... 디지털 논리회로 실험 결과 보고서 3주차 Decoders and Encoders ... 실험과정 STEP 1,2) 그림 10과 같이 회로를 구성한 후 PSW0와 PSW1의 변화에 의한 LED0~LED3의 변화를 표 1에 기록한다.
    리포트 | 12페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 워드파일 서강대학교 디지털논리회로실험 레포트 8주차
    나머지 세개의 D-FF 역시 같은 구조를 하고 있기 때문에 이는 사진 1의 회로와 같은 기능을 한다고 볼 수 있다. 실제 실험 결과 상으로도 같은 동작을 하는 것을 확인하였다. ... 실험 제목: shift registers 2. 실험 목적: 1) shift register -shift register의 구조와 동작원리를 이해한다. ... 검토 사항 1) D-FF을 사용해서 그림 16의 회로처럼 동작하도록 ISE를 이용해서 회로를 구현해보고 simulatiogn
    리포트 | 20페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 한글파일 [전자회로실험2]보고서3주차-Digit Logic
    [전자회로실험2] Digit Logic [실험목적] 디지털 로직 게이트를 기초로 하여 의 동작을 이해한다 MOSFET의 동작을 이해한다. ... [출처] 국민대학교 전자회로실험2 교재 국민대학교 전자회로실험2 강의 참조 ... GATE 실험방법 Bread Boarf에 왼쪽에 보이는 것과 같이 회로를 구성한다.
    리포트 | 8페이지 | 3,000원 | 등록일 2023.12.26
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 2. Digital Logic Gates 예비 보고서
    디지털논리회로실험 예비 보고서 [2주차] 실험 2. Digital Logic Gates 1. ... , 2009 2) 서강대학교 전자공학과, 디지털 논리회로 실험, 서강대학교, 2017 ... 실험 회로의 해석 및 예상 결과 1) 과정 1-A [그림 6]의 회로에 대한 Xilinx ISE 시뮬레이션 결과는 [그림 7]과 같다.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 워드파일 서강대학교 디지털논리회로실험 레포트 4주차
    디지털 논리회로 실험 결과 보고서 4주차 Multiplexers, Exclusive-OR, and Three state devices ... 우선 본 실험에서 사용된 multiplexer는 digital multiplexer이다. ... 실험 과정 STEP1) 그림 10의 회로를 bread board에 구성한다. 그림 SEQ 그림 \* ARABIC 10.
    리포트 | 24페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 워드파일 서강대학교 디지털논리회로실험 레포트 6주차
    STEP8) 그림 14의 회로를 구현한다서 사용하였던 IBUF사진을 첨부하였다. 이후 실험에서는 IBUFG를 사용하였으며, 정확한 결과를 확인하였다. ... 실험제목: flip-flops and register 2. 실험 목적: 1) flip-flop의 종류를 파악하고 각각의 동작원리를 이해한다. ... 이는 우리의 실험 값과 동일imulation 기능의 사용방법을 익혀보자.
    리포트 | 19페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 워드파일 서강대학교 디지털논리회로실험 레포트 7주차
    이 사실을 생각한 상태에서 회로를 관찰해 보자. 우선 이전 실험과 동일하게, 첫번째 F/F 에 1Hz의 신호가 들어간 것을 알 수 있다. ... 실험 제목: counters와 state machine 설계 2. ... STEP5의 회로 우리는 사진 2와 같이 회로를 구성하였다. 사진 SEQ 사진 \* ARABIC 2.
    리포트 | 26페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 한글파일 디지털 회로 실험 및 설계 - NE555 Timer 발진회로 응용 실험 1
    디지털회로실험및설계 예비 보고서 #9 ( NE555 응용 실험 ) 과 목 담당교수 제 출 일 학 번 이 름 1. ... 실험목표 ① NE555를 이용한 회로를 구성해본다. ② NE555를 응용한 회로의 동작을 실험해본다. 2. 관련이론 ? ... PSpice 시뮬레이션 회로도 및 결과 실험 1) NE555 응용회로의 동작 이해 ① NE555 Timer 회로를 구성하시오. ② 555 Timer의 출력에서 나오는 구형파의 주파수를
    리포트 | 9페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 워드파일 서강대학교 디지털논리회로실험 레포트 9주차
    STEP 14) 7-segment에 숫자를 표시하는 원리는 ROM 연결실험 1과 동일하다. ROM16X1의 datasheet, 그림 20의 회로를 분석해 표 3을 완성하였다. ... 실험제목: 메모리 소자 ROM/RAM 2. 실험목적: 1) 메모리 소자들의 동작 원리와 활용 방법을 이해한다. ... 실험 과정 그림 SEQ 그림 \* ARABIC 15.
    리포트 | 30페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 2. Digital Logic Gates 결과 보고서
    디지털논리회로실험 서강대학교 전자공학과 2017년 2학기 결과레포트 실험2. Digital Logic Gates 실험2. Digital Logic Gates 1. 실험목적 1. ... 회로 실험 2주차 실험 매뉴얼, 서강대학교 전자공학과 iii) 디지털 논리 회로 실험 2주차 구교재, 서강대학교 전자공학과 ... Vranesic, 'Fundamentals of Digital Logic with VHDL Design', 3rd Edition, McGraw Hill, 2009 ii) 디지털 논리
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업