• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,865)
  • 리포트(4,451)
  • 자기소개서(155)
  • 시험자료(129)
  • 방송통신대(93)
  • 서식(17)
  • 논문(15)
  • ppt테마(2)
  • 이력서(1)
  • 표지/속지(1)
  • 노하우(1)

"디지털 시계" 검색결과 61-80 / 4,865건

  • 한글파일 디지털시계 Term Project
    및 검토사항 5.후 기 6.참고문헌 (1) 개요 2005년 디지털 논리회로실험 Term Project의 주제를 디지털 시계로 정했다. ... 디지털논리회로실험 Term Project 디지털 시계 전자공학과 금요일 5조 - 목 차- 1.개 요 2.Block diagram 3.회로 및 Simulation 결과 4.제작 결과 ... 이 디지털 시계를 구현하기 위해 이번학기 실험에 사용한 한백전자의 실습용 KIT에 활용하였는데 이 KIT에 포함된 7 segment로 분과 초를, 12개의 LED로 (오전과 오후 표시를
    리포트 | 4페이지 | 1,000원 | 등록일 2013.01.02 | 수정일 2019.05.01
  • 파워포인트파일 VHDL 디지털시계 발표 자료
    완성했다 처음 디지털 시계를 기말고사를 대신하여 평가한다는 교수님의 말씀을 듣고 VHDL에 대한 얕은 지식만을 가지고 있던 저희는 망망대해를 걸어가는 기분이었습니다. ... com = 11111111 ; end if; end if; end process; end sample; 동 작 화 면 사 진 동 작 화 면 사 진 제작 후기 위의 제작 과정을 통해 디지털시계를 ... 하지만 1주가 지나고 2~4주가 지나면서 점점 VHDL를 이용하면서 시계를 제작해가는 저희의 모습을 발견하게 되었고.ow}
    리포트 | 29페이지 | 1,000원 | 등록일 2014.03.23
  • 워드파일 디지털 알람시계 설계 보고서 - 디지털회로설계및언어
    디지털 알람시계 설계 보고서 1. 주제 : Digital Alarm Clock 2. 기능 1. 설계주제 - 알람기능을 하는 시계 구현. 2. ... = 0) begin Alarm_CNT = Alarm_CNT - 3'b001; set_PTR = 1; end else begin clear_PTR = 1; end (5) 일반 시계 작동 ... test_bench 3의 검증을 시도해 보았지만 실제 결과와 다소 다르게 나왔다. 2) simulation8(test_bench 8)결과 시뮬레이션에서 아래의 사항들을 검증 할 수 없었다. 3) 시계
    리포트 | 15페이지 | 3,500원 | 등록일 2017.11.16
  • 파일확장자 <<AVR 알람시계 만들기>>AVR알람시계,디지털워치,와치,ATmega128,회로도,소스코드,동작원리,타이머,1초,시간설정,AVR디지털시계,전자시계,부저,디지탈시계,디지털시계 제작
    디지털 시계에서는 아래와 같이 총 5 byte의 데이터를 저장하고 불러온다. ... ['[AVR 알람시계 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. 목 표보고서에서는 AVR을 이용한 디지털 알람시계를 만드는 법에 대하여 알아보도록 한다. ... (1) Timer/counter를 이용한 ‘1초’ 카운트디지털 시계는 1 Sec 단위로 카운팅되면서 시간이 표시된다.
    리포트 | 29페이지 | 7,000원 | 등록일 2015.12.04 | 수정일 2017.04.20
  • 한글파일 디지털시계와 stop watch
    **디지털 시계와 stopwatch 소스 ** library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL ... then tmp_sw1 ... 10, seg => seg_10); seg_01_ten : int2seg1 port map ( int => dec_01, seg => seg_01); end Behavioral;
    리포트 | 11페이지 | 2,000원 | 등록일 2013.12.18
  • 파일확장자 VHDL 디지털 시계
    VHDL을 이용하여 시계, 알람, 타이머, 스톱워치 기능을 설계하였습니다. 사용자로부터 입력을 받을 수 있으며, 이를 LCD로 출력합니다.
    리포트 | 63페이지 | 3,000원 | 등록일 2010.12.07
  • 파일확장자 디지털 시계입니다.
    디지털 시계이구요 logicworks로 돌려야되요 ^^ 회로도와 시계입니다
    리포트 | 3,000원 | 등록일 2010.11.24
  • 한글파일 8051 디지털시계 제작
    디지털 vs 아날로그 - 디지털은 손가락이란 뜻의 라틴어 디지트 (digit)에서 온 말이다. ... 8051 디지털시계 제작 - 실험 결과보고서 - Ⅰ. 디지털시계의 개요 ⅰ. 개요 A. ... 적정한 가격, 500종류 이상이나 되는 풍부한 품종, 다수의 메이커가 생산함으로서 쉽게 구입할 수 있고, 시대에 따른 고속성, 에너지 지향의 개량형의 개발 등에 의해서 오늘날에도 디지탈
    리포트 | 20페이지 | 3,000원 | 등록일 2012.07.03
  • 파워포인트파일 VHDL 디지털 시계(소스,시뮬레이션,설명)
    d gital clock 2v Index Clk_div Dclk_2v Bcd_seg dclk waveform clok div Generic 을 이용하여 n 을 99 로 정의한다 입력 : clkin 출력 : clkout Clkin 에 의해서 clkout 값이 영향 받음 ..
    리포트 | 16페이지 | 1,000원 | 등록일 2014.12.18
  • 파일확장자 Arm 기반의 디지털 시계
    ARM기반의 SOC kit로 구현한 디지털 시계 소스 코드 및 보고서 자료입니다.
    리포트 | 19페이지 | 3,000원 | 등록일 2012.05.30
  • 파워포인트파일 디지털 시계 설계
    디지털 시계 목차 1. 개요 2. Display Design 3. 6진 카운터 4. 10진 카운터 5. 12진 카운터 6. 12시간 표시 Decoder 7. ... Display Design 시계에 사용될 6진 카운터는 0(000)에서 5(101)까지 출력이 클락의 신호에 따라 순차적으로 변하며, 5(101)다음에는 다시 0(000)의 출력으로 ... 부분 또한 초 부분과 마찬가지로 6진 카운터와 D C B A D C B A 오후 오전 CLK 시 부분은 12진 카운터의 경우 하나의 카운터로 0부터 11까지 세도록 설계되었으나, 시계
    리포트 | 36페이지 | 5,000원 | 등록일 2010.09.07
  • 파일확장자 디지털 시계 설계
    디지털 시계의 구조 및 개요 디지털 공학 텀프로젝트 ..PAGE:7 2. ... 설계.pptx ..PAGE:1 디지털 시계 설계 2조 나이키 조장 : 염규원 조원 : 박재웅, 서기원, 나태흠, 황재민, 박남규, 한진수 디지털 공학 텀프로젝트 ..PAGE:2 목차 ... 실험 목적 디지털 시계의 구조 및 개요 카운터 설계 디코더 설계 실험 결과 실험 후기 및 소감 질문 및 응답 ..PAGE:3 1.실험 목적 디지털 공학 텀프로젝트 ..PAGE:4
    리포트 | 25페이지 | 2,000원 | 등록일 2010.09.05
  • 한글파일 8051 디지털 알람 시계 프로젝트 보고서
    디지털 시계의 특징은 스위치를 이용하여 ON과 OFF의 상태에 따라 다른 기능을 하는 시계가 된다는 것이다. ... 회로를 완성하고 C코드를 분석하면서 디지털시계의 원리를 알아본다. 2. 회로도 3. ... 실험 프로젝트 보고서 (8051 디지털 알람 시계) 1. 목표 실험(4) 수업시간에는 한 학기동안 AVR의 기본적은 사용방법과 응용방법에 대해 배웠다.
    리포트 | 28페이지 | 3,500원 | 등록일 2015.11.09 | 수정일 2015.12.14
  • 한글파일 디지털시계
    제14장 디지털 시계 14- 목 적 - 동기식 카운터를 이용한 디지털 시계를 설계 14.1 디지털 시계의 구성 주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 ... 이와 같은 디지털 시계를 설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자. ... 하지만 디지털 시계에서 쓰게 될 카운터로는 enable 제어신호를 갖는 카운터를 설계해야 한다. 카운터가 enable 기능을 가져야 하는 이유를 살펴보자.
    리포트 | 10페이지 | 1,000원 | 등록일 2008.09.09
  • 한글파일 디지털 시계 설계
    * 2차 프로젝트 디지털 시계 설계 * * 1.
    리포트 | 22페이지 | 2,500원 | 등록일 2010.06.25
  • 파일확장자 디지털 시계 만들기
    디지털 시계 만들기필요 부품Seven segment 6개7447A BCD to seven segment 디코더/구동기 5개7483A 4bit binary full adders with
    리포트 | 3페이지 | 3,000원 | 등록일 2010.06.15
  • 한글파일 FPGA를 이용한 디지털 시계
    CONSTANT LCD_S_r : std_logic_vector(7 downto 0) := "01110010"; CONSTANT LCD_S_t : std_logic_vector(7 downto 0) := "01110100";
    리포트 | 1페이지 | 1,000원 | 등록일 2011.12.22
  • 한글파일 디지털 시계 제작(디지털공학 실습 과제)
    디지털 시계 설계 1. ... 실험 목적 (1) TTL IC를 사용한 디지틀 시계를 설계한다. (2) 설계된 시스템을 제작한다. (3) 제작된 시스템을 측정하고 설계한 회로와 비교 검증한다. 2. ... 우리는 캐패시터값을 우선적으로 정하고 저항값을 선택하여 디지털시계의 1초에 해당하는 주파수를 구한다. 2) 카운터 회로 디지털 시계에서 쓰게 될 카운터로는 enable 제어신호를 갖는
    리포트 | 12페이지 | 2,500원 | 등록일 2013.01.18
  • 파일확장자 디지털시계verilog
    블록도, 핀설정 모두 되있음 사용된 키트 : HBE-SoC-Entry Ⅱ Kit 프로그램 : 쿼터스 베릴로그의 실행파일 들어있습니다. 소스만 보고싶을땐 확장명 v를 보면됨
    리포트 | 1,500원 | 등록일 2009.12.27
  • 한글파일 디지털 시계 조립
    그리고 소자소켓에 소자들을 부착함으로써 디지털 시계 회로를 완성하였다. ... 디지털 시계 전체 회로 설계 시뮬레이션 (1)시에 따라 변화 (2)분에 따라 변화 (3)초에 따라 변화 비고 및 고찰 소자들을 먼저 납땜을 하게 되면 탈 수 있는 염려가 있어서 소자들을 ... 동기식 modulo-N 카운터 설계 에 있는 디지털 전체 블록도처럼 모든 카운터가 하나의 공통 클럭 펄스에 동기되어 있기 때문에 만일 enable 제어 기능이 없다면 클럭 펄스가 인가될
    리포트 | 10페이지 | 1,000원 | 등록일 2009.12.18
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업