• 캠퍼스북
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(21,000)
  • 리포트(19,490)
  • 자기소개서(763)
  • 시험자료(314)
  • 방송통신대(248)
  • 논문(158)
  • 서식(18)
  • 이력서(6)
  • ppt테마(3)

"디지털실험" 검색결과 201-220 / 21,000건

  • 워드파일 디지털 실험 9장(멀티플렉서를 이용한 조합논리) 결과 보고서
    이번 실험을 하는데 사용한 도선의 개수가 이 전 실험들보다 역대급으로 많았다고 생각한다. ... 이 전 실험들 (1학기 실험 포함)하여 전압을 인가해도 전류가 차단되는 현상이 종종 있었다. ... 실험 9장 멀티플렉서를 이용한 조합논리 1.
    리포트 | 12페이지 | 3,000원 | 등록일 2019.12.17
  • 워드파일 디지털 실험 9장(멀티플렉서를 이용한 조합논리) 예비보고서
    실험 예비 보고서 (9장 멀티플렉서를 이용한 조합논리) 실험 목적 -멀티플렉서를 이용하여 비교기와 패리티 발생기를 설계하고 그 회로를 시험한다. -2XN 입력의 진리표를 수행하기 위해 ... 실험부품 및 사용기기 17404 hex 인버터 174151A 멀티플렉서 1LED 1브레드 보드 15V 직류전압전원 장치 1오실로스코프 저항기 660Ω, 1kΩ 이론요약 멀티플렉서는 ... 실험순서 두 개의 2비트 수 A와 B와 같은지 또는 큰지 알아보기 위해서 서로 비교해야 한다고 가정하자. 이를 위해 비교기와 A>B 또는 A=B 출력을 이용할 수 있다.
    리포트 | 14페이지 | 3,000원 | 등록일 2019.12.17
  • 워드파일 디지털 실험 7장(가산기,감산기) 결과보고서
    가산기, 감산기는 1학기 때 디지털공학 강의를 들으면서 배웠던 기억이 있는데, 이것을 실험으로 표현하려니 막막한 느낌이 들었다. 도무지 연결이 되지 않는 느낌이었다. ... 실험 6장 비교기 1. ... 이번 실험에서 좀 많이 느꼈는데, 실험책의 이론 요약 부분이 어떻게 실험 기구에 적용이 되어서 사용이 되는 것 인지에 대한 설명이 부족하다고 생각했다.
    리포트 | 6페이지 | 3,000원 | 등록일 2019.12.17
  • 한글파일 10주차 예비보고서 - 디지털 시스템 설계 및 실험
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 설계 및 실험 이름 : 학번 : 실험제목 Simple Computer - Control Unit 실험목표
    리포트 | 5페이지 | 1,000원 | 등록일 2020.07.29
  • 한글파일 8주차 예비보고서- 디지털 시스템 설계 및 실험
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 설계 및 실험 이름 : 학번 : 실험제목 RAM(Random Access Memory) 실험목표 1. 16 ... 실험방법 플립플롭을 여러 개 사용하면, 레지스터를 만들 수 있고, 또 레지스터를 여러 개 사용하면 메모리를 만들 수 있다. 이번에는 16×4 RAM 메모리를 설계한다. ? ... 이번 실험의 회로도 및 진리표 1. 16*4 RAM의 회로도 2. verilog 코드를 작성해보았다. module RAM(A,D_IN,WR,RD,CLK,Q); input CLK,WR
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 파일확장자 디지털 논리회로 - 이론 및 실험 (생능출판), 3장 연습문제
    3.1 그림 3-42와 같은 입력 파형들이 두 개의 입력 A와 B를 가진 아래와 같은 게이트들로 인가될 때 발생되는 출력 파형을 각각 구하라. 3.2 세 개의 인버터(NOT 게이트)가 직렬로 접속되어 있다. 첫 번째 인버터의 입력을 A, 그 출력을 B라고 하자. 직렬접..
    시험자료 | 8페이지 | 2,500원 | 등록일 2022.11.11
  • 파일확장자 디지털 논리회로 - 이론 및 실험 (생능출판), 4장 연습문제
    4.9 앞면과 뒷면을 가진 동전 세 개에 각각 논리 변수 A, B 및 C를 지정하기로 하자. 동전 을 던져서 앞면이 나오면 ‘1’, 뒷면이 나오면 ‘0’이라고 할 때, 세 개를 모두 던져서 한 개의 동전만 앞면이 나온 경우에 출력 F=1이 된다. 진리표를 작성하고, 함..
    시험자료 | 8페이지 | 2,500원 | 등록일 2022.11.11
  • 파일확장자 디지털 논리회로 - 이론 및 실험 (생능출판), 5장 연습문제
    5.7 앞면과 뒷면을 가진 동전들이 세 개(x,y,z)가 있다. 동전을 던졌을 때 앞면이 나오면 ‘1’, 뒷면이 나오면 ‘0’으로 표시하기로 한다. 세 개의 동전들을 모두 한 번에 던져서 그들 중에 두 개 이상이 앞면이 나오면 출력(F)이 ‘1’이 되어 램프가 켜지게 ..
    시험자료 | 13페이지 | 2,500원 | 등록일 2022.11.11
  • 한글파일 9주차 예비보고서 - 디지털 시스템 설계 및 실험
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 설계 및 실험 이름 : 학번 : 실험제목 Simple Computer - Data Path 실험목표 1. ... 이번 실험의 회로도 및 진리표 이번 실험에서 작성하게 될 verilog 코드를 어떤식으로 작성하여야 할지 생각해 보았다. 1. ... 실험방법 DATAPATH의 전체 구성도 및 각각의 세부 회로 구성도를 바탕으로 각각의 모듈을 구현한다. 1.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 한글파일 5주차 예비보고서- 디지털 시스템 설계 및 실험
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 설계 및 실험 이름 : 학번 : 실험제목 7-segment 실험목표 1. 4bit binary 를 8bit ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계 3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현 4. ... 그래서 이 실험 단계에서는 Binary to BCD 컨버터를 기본 Line Decoder를 이용해서 설계해야 한다. 1.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 한글파일 7주차 예비보고서- 디지털 시스템 설계 및 실험
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 설계 및 실험 이름 : 학번 : 실험제목 Sequential Circuit 설계 및 구현 실험목표 1. ... PIEZO는 디지털 신호 1에 해당하는 입력 레벨의 음성 주파수대의 펄스 신호를 입력하면 해당 주파수 소리를 출력하게 된다. ... 이번 실험의 회로도 및 진리표 1. 동기식 UP/DOWN 카운터 1.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 워드파일 실험 M2 아날로그 및 디지털 기초 회로 응용 결과보고서
    전기 및 디지털 회로실험 실험 M2 아날로그 및 디지털 기초 회로 응용 결과보고서 담당교수 : 교수님 학과 : 전기공학과 학번 : 이름 : 실험실험 M2 아날로그 및 디지털 기초 ... 회로 결선도 실험 결과 디지털 Input (Read) 결과분석 이번 실험은 스위치를 누르면 상태가 시리얼 모니터에 연속으로 출력되도록 프로그램을 작성하고 실행한 다음 결과를 확인하는 ... 실험 결과 디지털 Output (Write) 결과분석 이번 실험은 스위치를 눌렀을 때 보드에 내장된 LED가 켜지고, 누르지 않았을 때 내장된 LED가 꺼지도록 프로그램을 작성하고
    리포트 | 18페이지 | 1,000원 | 등록일 2022.10.31
  • 한글파일 디지털 회로 실험 및 설계 - 기본 논리 게이트(Gate) 및 TTL, CMOS I.F 실험 2
    디지털회로실험및설계 결과 보고서 #1 ( 기본 논리 Gate 및 TTL, CMOS I/F 실험 ) 과 목 담당교수 제 출 일 학 번 이 름 1. ... 실험하며 측정했던 전류의 값도, 디지털 멀티미터에 표시된 계속해서 바뀌는 전류의 값에서 소수점 한 ~두자리까지만 보고 대략적인 값을 측정한 것이다. - 둘째로, 점퍼선에서도 저항은 ... 물론, 우연치 않게 소수점 한자리 수준으로 딱딱 떨어지는 전류의 값도 측정 되겠지만 대부분 디지털 멀티미터에 측정되는 전류의 값을 보면, 소수점 셋째 자리까지 나타나게 되는데, 그
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 한글파일 디지털 회로 실험 및 설계 - 부울대수와 카르노맵, RS Flip Flop 실험 1
    디지털회로실험및설계 예비 보고서 #2 ( 부울대수와 카르노맵, RS Flip-Flop 실험 ) 과 목 담당교수 제 출 일 학 번 이 름 1. ... 플립플롭 - 플립플롭은 전원이 공급되면 1 또는 0의 출력이 유지되는 디지털 회로이다. ... 실험목표 ① 부울 대수로 논리식을 간소화하고, 실험으로 확인한다. ② 카르노 맵으로 논리식을 간소화하는 방법을 익힌다. ③ 카르노 맵으로 간소화한 논리식을 실험으로 확인한다. ④ 카르노
    리포트 | 10페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 한글파일 디지털 회로 실험 및 설계 - 부울대수와 카르노맵, RS Flip Flop 실험 2
    디지털회로실험및설계 결과 보고서 #2 ( 부울대수와 카르노맵, RS Flip-Flop 실험 ) 과 목 담당교수 제 출 일 학 번 이 름 1. ... 실험하며 측정했던 전류의 값도, 디지털 멀티미터에 표시된 계속해서 바뀌는 전류의 값에서 소수점 한 ~두자리까지만 보고 대략적인 값을 측정한 것이다. - 둘째로, 점퍼선에서도 저항은 ... 물론, 우연치 않게 소수점 한자리 수준으로 딱딱 떨어지는 전류의 값도 측정 되겠지만 대부분 디지털 멀티미터에 측정되는 전류의 값을 보면, 소수점 셋째 자리까지 나타나게 되는데, 그
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 8. Multiplier Design 결과 보고서
    Vranesic, 'Fundamentals of Digital Logic with VHDL Design', McGrawHill 2) 디지털 논리 회로 실험 매뉴얼, 서강대학교 전자공학과 ... 디지털논리회로실험(EEE2052-01) 서강대학교 전자공학과 2017년 2학기 결과레포트 실험8. Multiplier Design 1. ... 실험개요 1) 4비트 곱셈기의 구조와 원리를 이해한다. 2) 팀 단위로 디지털 회로 설계하는 방법을 이해 한다 2. 퀴즈 답안지 및 정답 -퀴즈 없음 3.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 진동실험 - 회전수 측정 실험(스트로보스코프, 타코 발전기, 디지털 엔코더를 통해 측정한 회전수 비교 실험)
    실험에서 사용하는 회전수 측정 방식은 스트로보스코프(Stroboscope), 디지털 엔코더(Digital Encoder), 타코 발전기(Tacho Generator)이다. ... (Tacho generator)와 디지털 엔코더(Digital Encoder)와는 다르게 스트로보스코프(Stroboscope)로 측정하는 회전수는 실험자의 측정에 의존한다. ... 또한, 측정기기에 따라 분해능이 다르기 때문에 측정할 수 있는 회전수의 범위가 정해져 있다. 3.2 실험 장비 및 측정 방식 소개 (1) 회전체 및 디지털 엔코더(Digital Encoder
    리포트 | 6페이지 | 1,000원 | 등록일 2020.11.17 | 수정일 2020.12.05
  • 한글파일 연속파 디지털 변조 및 복조 실험 결과 보고서(7)
    발생기 XR2206 디지털 멀티미터 저항 오실로스코프 사용 저항 값 (150Ω, 560Ω, 4.7kΩ, 10kΩ, 30kΩ, 39kΩ, 47kΩ 등) ● 실험 방법 1. ... 변조기)/수신기(복조기)의 특성과 동작을 관찰한다. ● 사용기기 및 부품 - 직류전원 공급기, 신호 발생기, XR2206, 디지털 멀티미터, 저항, 오실로스코프 직류전원 공급기 신호 ... 변경 후 출력신호 ● 실험 결과 ① 실험과정 5.4~5.6에서 측정 및 계산한 결과를 [표 10-2]에 기록하시오.
    리포트 | 4페이지 | 1,000원 | 등록일 2019.07.29 | 수정일 2023.06.22
  • 파일확장자 서강대학교 디지털논리회로실험 10주차 결과보고서
    실험목적1) Digital-to-analog 변환(DAC)- Digital 신호를 analog 신호로 반환하는 회로의 동작 원리를 이해한다.- DAC IC(DAC0808)의 구동 방법을 ... 배경이론 및 실험방법DAC는 이진수 체계로 표현된 디지털 신호를 상응하는 아날로그 전압으로 전환하는데 사용되는 소자로, 이 소자는 집적회로의 형태로 공급되고 있는데, 그 기능을 파악하기 ... R-2R ladder circuit를 이용해 DAC를 나타낼 수 있는데, 회로는 다음과 같다.이 회로는 R과 2R의 크기를 갖는 저항들을 사다리형태로 배열하고 입력되는 4-bit 디지털
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 파일확장자 서강대학교 디지털논리회로실험 7주차 결과보고서
    배경이론 및 실험방법Counter는 clock에 의해 단일 cycle을 반복적으로 수행하는 순차 논리회로이다. ... 실험목적1) CountersCounter의 구조와 동작원리를 이해한다.비동기/동기 counters2) State machine designMealy and Moore machines를
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업