• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,182)
  • 리포트(2,064)
  • 시험자료(75)
  • 자기소개서(18)
  • 방송통신대(17)
  • 논문(4)
  • 서식(3)
  • ppt테마(1)

"감산기" 검색결과 181-200 / 2,182건

  • 파일확장자 논리회로) 2‘s Complement Numbers를 이용한 Signed 4-Bit 병렬 가/감산기 (Pro_VSM 시뮬, 진리표, 실험사진)
    실험 내용 Select Bit가 0일 때 가산기, 1일 때 감산기로 동작하는 가/감산기를 설계한다. 가/감산 연산은 2‘s Complement Numbers를 사용한다. ... 실험 제목 : 2‘s Complement Numbers를 이용한 Signed 4-Bit 병렬 가/감산기2. ... 실험 목적 - 2‘s Complement Numbers를 이용한 Signed 4-Bit 병렬 가/감산기를 직접 설계한다.3.
    리포트 | 1페이지 | 1,000원 | 등록일 2013.06.09
  • 워드파일 Quartus 툴을 이용하여 verilog로 가감산기.간단한 ALU 구현하기
    Report AdderSubtractor / ALU(Add,Sub,Xor,And,Or,Not) Overview Project Description AdderSubtractor - 가감산기로서 ... 컴퓨터에서 가산기를 사용하여 뺄셈을 하기 위해 음수의 표현으로 자주 사용된다 ☞ Overflow : To obtain a correct answer when adding and subtracting
    리포트 | 18페이지 | 9,000원 | 등록일 2007.12.09
  • 워드파일 [정보통신] 감산기 맥스플러스 설계
    *************** full_adder 설계library ieee;use ieee.std_logic_1164.all;entity full_adder isport(x,y,c : in std_logic; c_out, s: out std_logic);end f..
    리포트 | 6페이지 | 1,000원 | 등록일 2004.06.25
  • 한글파일 논리설계 - 감산기를 MAX-PLUS II 로 실습을 한후 결과 보고서
    제목 - 감산기 - 감산기의 개념과 동작을 이해하고 설계한다. - 전가산기를 이용하여 4비트 병렬 가감산기 회로를 설계한다. 목적 - 감산기에 대해 이해하고 응용할 수 있다. ... 관련 한습 반가산기, 전가산기, 이진병렬가산기는 덧셈을 수행하는 반면, 반감산기, 전감산기는 뺄셈을 수행하는 회로를 말한다. ... 반감산기 논리 회로 십습2: 전감산기 진리표를 작성한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2009.12.15
  • 한글파일 [전자공학]디지털실험공학 - 7.가산기와 감산기
    가산가와 감산기 결과보고서 { 제출일자 조 성 명 1. 개 요 . 반가산기와 전가산기의 원리를 이해한다. . 반감산기와 전감산기의 원리를 이해한다. 2. ... 반 감산기 회로를 NAND gate 만을 사용하여 구성하여라. . 첨 부 1 2. 반가산기 회로를 NAND gate 만을 사용하여 구성하여라. .
    리포트 | 4페이지 | 1,000원 | 등록일 2005.11.03
  • 한글파일 [정보통신실기] 4bit 가감산기
    캐리 덧셈기를 구성하는 r4개의 덧셈기를 나타내고 있다. ... 이는 가가의 덧셈기에서 출려된 캐리를 다음 단의 덧셈기의 입력 캐리에 연속적으로 덧셈기의 입력 캐리에 연속적으로 연결함으로써 덧셈기를 구현할 수 있다.그림 4는 4비트 2진식 리플 ... 덧셈기의 최장 전파지연시간은 덧셈기를 통하여 캐리를 얻어내는 시간을 의미한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2004.05.12
  • 한글파일 논리회로 실험 결과레포트(가산기, 감산기, 디코더) 모든 그래프와 수식을 첨부한 레포트 입니다.
    감산기 (1) 7486, 7400 을 이용하여 반감산기를 구성하라. - XOR gate(7486), NAND gate(7400), NOT gate(7404)로 반감산기를 구성할 수 ... 반면 반감산기는 제일 마지막자리의 뺄셈연산만을 할 수 있다. ◇ 전감산기를 워크벤치로 돌려서 실험결과를 확인해보면 다음과 같다. - 입력 X, Y, Z에 대한 출력 B(Borrow) ... 반감산기는 뺄셈을 수행할 때, 제일 마지막자리에서 사용될 수 있다.
    리포트 | 15페이지 | 2,000원 | 등록일 2008.02.28
  • 워드파일 [회로실험] 논리게이트를 이용한 가, 감산기 설계
    병열 4비트 감산기 = 4 \* GB3 ④ 4 bit 가, 감산기 전자계산기에서는 감산기감산을 하기보다는 가산기를 이용하여 감산을 하는 경우가 많다. ... = 3 \* GB3 ③ 감산기(Subtractor) 전감산기(Full Subtractor)는 바로 윗 단의 위치에서 빌린 Z를 고려하여 X에서 Y를 빼는 감산기를 말하며 ... SUB B Y 0 0 0 0 1 1 1 0 1 1 1 0 (a) XOR 진리표 (b) 가, 감산기 회로도 그림 4.가, 감산기 회로도 Materials & Methods -
    리포트 | 11페이지 | 1,000원 | 등록일 2005.06.30
  • 한글파일 논리회로 실험 (가산기와 감산기) 결과 (사진첨부, PSPICE첨부, Truth TAble , 카노맵 첨부)
    감산기 실험 1. ... 반감산기를 통하여 감산의 과정을 쉽게 이해할 수 있었다. (5) 예비보보서 문제 결과를 확인하라. ◎ 전감산기감산기(FS : full subtracter)는 두 자리 이상의 2진수를 ... 《반감산기 실험 사진》 ①회로구성 inverter하나를 추가하여 XOR GATE, AND GATE를 이용하여 반감산기 회로를 구성하였다.
    리포트 | 9페이지 | 3,000원 | 등록일 2007.09.22
  • 한글파일 전가산기와 전감산기
    감산기가 이와 같은 역할을 한다. ... 1.제목:전가산기와 전감산기 2.목적: 전가산과 전감산의 산술연산을 실행하는 회로의 설계법에 대해 공부한다. 3.사용기기 및 부품: ▶오실로스코프(CRO):dc결합된 입력과 전압축정 ... 출력 S 와 전감산기의 출력D는 동일하며,전감산기의 출력 B는 x를 x'로 대치하면 동일하게 된다는 사실은 흥미있는 일이다.따라서,캐리를 산 출하는 게이트에 x의 보수를 적용하면
    리포트 | 4페이지 | 무료 | 등록일 2000.11.12
  • 한글파일 [전자] 8비트 가감산기 설계
    설계 접근 schematic capture 방식의 설계에서는 지난번 설계한 전가산기를 활용하고 4-bit 병렬가산기를 참조하여 설계 VHDL 방식의 설계에서는 지난번 설계한 4-bit ... 병렬가산기를 참조하여 설계 Waveform editor를 이용하여 simulation에 필요한 데이터 파일을 만들어 Simulator를 사용하여 설계가 맞는지 검사 두 가지 설계
    리포트 | 4페이지 | 1,000원 | 등록일 2002.03.31
  • 한글파일 [회로이론] 4bit+CLG 가감산기
    결론 지금까지 가산기와 감산기 원리를 합하여 가감산기를 만들었다. ... 다시 말해 A-B 를 계산하기 위해서는, B의 보수를 A에 더하면 된다. ∴ 전가산기와 감산기 원리를 이용하면 가감산기를 만들 수 있다. 2. ... 그래서 전가산기의 가산 동작을 다시 해석하여 자리 올림수를 미리 생성해 주는 회로(Carry Lookahead Generator) 를 만들 수 있다. { { (3) 감산기 감산기
    리포트 | 8페이지 | 1,000원 | 등록일 2003.11.03
  • 한글파일 [논리회로실험] 감산기 결과보고서
    감산기와 전감산기의 원리에 대해 이해 할 수 있었다. 그림 5.5의 회로가 잘못되어서 피스파이스에서 오류가 나왔다. ... 감산기 결과 보고서 A B b d 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 0 1.그림 5.5 회로를 구성하고, 출력전압을 표 5.3에 기입하시오. 2.그림 5.6 회로를
    리포트 | 2페이지 | 1,000원 | 등록일 2003.05.14
  • 한글파일 [회호실험] 논리함수의 간략화, Exclusive OR 게이트, 가산기와 감산기(시물레이션까지)
    가산기와 감산기(Adders and Subtractors) 【1】목적 (1) 반 가산기와 전 가산기의 원리를 이해한다. (2) 반 감산기와 전 감산기의 원리를 이해한다. (3) 가산기와 ... 감산기의 동작을 확인한다. (4) 가산과 감산을 할 수 있는 회로를 설계하는 방법을 익히다. 【2】이론 (1) 반 가산기(Half Adder) 2진수로 표시된 두 개의 수를 합해서 ... }' { B}_{n }' + { A}_{n } { B}_{n }) = { A}_{n }' { B}_{n } + { b}_{n-1 } ({ A}_{n } { B}_{n })' 전 감산기
    리포트 | 24페이지 | 1,000원 | 등록일 2002.11.05
  • 한글파일 [디지털논리회로설계실험]디지털 논리회로 설계실험 예비보고서 8장 병렬가산기 및 감산기
    제 8장 병렬 가산기 및 감산기 ? ... [그림 8-2] 4 bit 2진 병렬 가산기(7483)의 블록도 2.2 4 bit 2진 병렬 감산기 2진수의 감산의 경우 그 결과는 감수에 2의 보수를 사용했을 때 가산의 결과와 같으므로 ... [그림8-7] 4 bit 2진 병렬 가감산기 [ C=Control signal 이며, C=1일 때는 감산기로, C=0 일 때는 가산기로 동작하도록 회로도를 구성함] [표 8-2]에
    리포트 | 7페이지 | 1,500원 | 등록일 2005.03.30
  • 한글파일 [전자공학실험] EX-OR 및 가,감산기 실험 예비 레포트
    감산기에 의한 전감산기 일반적으로 검산은 보수를 이용하여 가산기로 하며 감산기를 별도로 사용하는 경우는 거의 없다. ... 전감산기 { 또한 두 개의 반감산기를 이용하여 전감산기를 구성하면 그림 12와 같이 된다. 그림 12. ... 반감산기 4.
    리포트 | 10페이지 | 1,000원 | 등록일 2001.10.23
  • 한글파일 예비보고서(7 가산기)
    (b) 진리표A B D BR 0 0 0 1 1 0 1 1 0 0 1 1 1 0 0 0 그림 5 반감산기 (6) 병렬 감산기와 직렬 감산기 병렬 감산기와 직렬 감산기는 각각 병렬 가산기와 ... 개의 입력에 대한 감산기이며 전감산기는 전가산기와 마찬가지로 세 개의 입력에 대한 감산기이다. ... 감산은 결국 보수에 의한 가산과도 같으므로 실제 회로에서는 대개 감산기를 별도로 설계하지 않고 가산기를 이용하여 감산기로 병용한다.
    리포트 | 9페이지 | 2,000원 | 등록일 2020.10.14
  • 파워포인트파일 전가산기와 반가산기 ppt
    조원 : Ch.3 반가산기와 전가산기 개요 1. 기본개념 배타적 or 게이트 반가산기와 전가산기 전가산기를 병렬로 연결해 n bit 계산 만들기 전감산기 2. ... ) C out = YC in +XC in +XY 전가산기를 병렬로 연결한 n bit 계산기 S=A 3 A 2 A 1 A 0 +B 3 B 2 B 1 B 0 의 예시 (4bit) 전감산기 ... 실험회로 구성 1bit 전가산기 1bit 전감산기 배타적 OR 게이트 입력이 같으면 `0`, 다르면 `1`의 출력이 나오는 소자 A B A xor B 0 0 0 0 1 1 1 0 1
    리포트 | 16페이지 | 4,000원 | 등록일 2019.09.24
  • 한글파일 전자회로응용실험 19장 연산증폭기를 이용한 가감산증폭기 및 미적분기
    -감산 증폭기 실험 (1) 그림 19-17과 같은 회로를 구성하고 신호발생기로부터 V _{1} 과`V _{2}를 다음곽 같이 인가한다. ... 검토 및 고찰 이번 실험에서는 가산증폭기와 감산증폭기, 반전증폭기 회로에서 R _{i}를 커패시터로 대체하여 출력전압이 입력전압의 미분형태로 출력되는 미분기와, 미분기의 저항과 커패시터의 ... 그리고 감산증폭기는 V _{out} =( {R _{2} +R _{4}} over {R _{2}} )( {R _{3} V _{1}} over {R _{1} +R _{3}} )- {R
    리포트 | 8페이지 | 1,000원 | 등록일 2022.09.25
  • 한글파일 BCD 가산기 설계 결과보고서
    감산기에서 입력이 다음 표와 같을 때 FND에 나타나는 출력 값은 얼마인가? ... 감산기로 동작할 경우 빼는 수의 2의 보수를 취해서 더해야 한다. Verilog, VHDL ; 가산회로는 부호를 고려하지 않아도 되지만, 감산회로는 부호를 고려해야 한다. ... 감산기의 예에서 입출력 비트 수가 많아질수록 Schematic으로 설계 할 때와 Verilog 또는 VHDL로 설계할 때의 장단점을 설명하라.
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업