• AI글쓰기 2.1 업데이트
  • 통합검색(346)
  • 리포트(314)
  • 자기소개서(30)
  • 시험자료(1)
  • 이력서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"VHDL설계실험" 검색결과 261-280 / 346건

  • 반가산기(Half Adder)와 전가산기(Full Adder)의 설계
    4주차 과제반가산기 (Half-Adder)와 전가산기 (Full-Adder) 설계1. 설계 배경 및 목표이번 주에는 프로세스(Process)문, 동작적 표현방법 ... (Behavioral Representation), 자료 흐름적 표현방법(Dataflow Representation)에 대한 이론을 배우고 예제로 반가산기(Half Adder)를 VHDL로 구현 ... 해 본다. 반가산기란 1비트의 2진수를 2개 더하는 논리회로이다. VHDL로 구현 후 Test Bench로 Simulation시켜 결과가 바르게 나오는지 확인한다. 실습으로는 반가산기
    Non-Ai HUMAN
    | 리포트 | 13페이지 | 1,500원 | 등록일 2010.06.24
  • FF, SP CONVERSION
    VHDL 모듈은 합성을 통해서 Xilinx FPGA의 라이브러리 셀로 구성된 Netlist로 변환되어야 한다.▶ UCF(User Constraints File) 작성설계자 ... /FPGA.HTM" FPGA 에디션 2.0'NVIDIA8800GT와 연동되어사용되고 있는 Xilinx-ML555실험목적RS Flip-Flop과 Master-Slave RS Fliip ... -Flop을 설계해보고 시뮬레이션 해본다. 또한 F/F를 이용하여 8-bit serial-to-parallel register를 설계하고 시뮬레이션 해본다.Xilinx ISE
    Non-Ai HUMAN
    | 리포트 | 14페이지 | 1,000원 | 등록일 2010.03.26
  • xilinx를 이용한 플립플롭(Flipflop)과 레지스터(Register)의 설계
    실험부터는 정보를 기억 할 수 있는 순차회로의 설계에 대한 실험이었다. 실험시간 전 VHDL관련 서적을 통해 buffer는 입 출력 신호에 사용할 수 있다는 사실을 숙지하여 앞 ... 을 VHDL로 어떻게 구현하는지 알아보고 실습하며 시뮬레이션으로 결과값이 옳은지 확인한다. • 주어진 D 플립플롭을 이용하여 4 bit 레지스터를 설계한다. 설계 조건은 c ... 와 MUX 설계 시 사용했던 component 구문을 사용해서 4개의 bit를 저장할 수 있는 shift register를 설계하였다. 이전 실험은 기억능력이 없는 회로설계였지만 이
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 1,500원 | 등록일 2010.06.24
  • ADDER COMPARATOR
    .HTM" FPGA 에디션 2.0'NVIDIA8800GT와 연동되어사용되고 있는 Xilinx-ML555실험목적8비트 가산기와 비교기를 4비트 adder, comparator를 사용 ... 과 FUNTIONAL SIMULATION을 통해 결과값을 확인해본다.Xilinx ISE Webpack의 기능▶ VHDL 코드 합성Xilinx ISE는 유저가 코딩한 VHDL이나 Verilog ... 것이 신플리서티에서 나온 ‘Synplify’라는 툴이다. 시뮬레이션으로 기능을 검증한 VHDL 모듈은 합성을 통해서 Xilinx FPGA의 라이브러리 셀로 구성된 Netlist
    Non-Ai HUMAN
    | 리포트 | 21페이지 | 1,000원 | 등록일 2010.03.26
  • VHDL BCD to Excess-3 Code Converter(prelab) xilinx spartan3e board실험
    했던 내용을 기초로 BCD to excess-3 code converter를 설계하는 것이다. 이 실험을 통하여 VHDL의 사용법을 익혀보고, Behavioral model ... 전자전기컴퓨터설계실험IIIPRELAB REPORT[BCD to Excess-3 Code Converter]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l "실험 ... 고 있으므로 VHDL을 사용한 Design은 많은 다른 회사들간의 호환성이 보장된다.▷ VHDL을 이요한 Top-Down 방식의 Design이 가능하여 설계기간이 훨씬 단축
    Non-Ai HUMAN
    | 리포트 | 28페이지 | 2,000원 | 등록일 2008.09.28
  • [12주차] Calulator
    과 목 : 논리회로설계실험과 제 명 : 계산기 설계담당교수 : 정일섭 교수님학 과 : 전자전기공학과학 년 :이 름 :제 출 일 :논리회로설계실험 - 계산기 설계 과제7조 ( 유광 ... 위 / 박석호 )0. Purpose지난시간에 배운 LCD를 활용하여 감가산기의 설계를 해본다. 감가산기는 앞에서 실습했던 4bit full_adder를 사용하고 이에 대한 입력 ... 은 딥스위치와 버튼스위치를 사용하여 설계를 한다.1. Back Ground. 4bit full_adder_subtracter위의 block diagram을 모두 기억하고 있을 것이
    Non-Ai HUMAN
    | 리포트 | 20페이지 | 2,000원 | 등록일 2012.06.30
  • PreLab> Usage of XilinxISE on Spartan-3 프리렙 스파르탄 3 보드에서 자일링스 사용법
    ) 실험 목적2) 실험에 필요한 기본 이론3) 실험 순서A. Project 생성후 New Source Wizard 설정B. VHDL coding 후 SAVE 및 Syntax c ... .2i를 다운로드 하여 설치하는 방법을 배운다.둘째, Xilinx ISE 9.2i를 사용하여 VHDL을 이용한 디지털 회로 설계, 그에 대한 시뮬레이션 및 에뮬레이션을 통해 프로그램 ... Lecture 2The Usage of XilinxISEon Spartan-3==================Contents===============1. 실험 목적2
    Non-Ai HUMAN
    | 리포트 | 18페이지 | 2,000원 | 등록일 2009.01.02
  • 멀티플랙서 비교기 설계
    1장. 설계(실험) 배경 및 목표VHDL 을 이용한 멀티플렉서 설계 - 2x1 mux 또는 4x1 mux를 사용하여 8x1 mux를 구성한다. - 시뮬레이션 방법 : Test ... =0개) 를 사용하여 8x1 MUX설계멀티플렉서 예제 진리표I0I1I2I3I4I5I6I7O3장. 설계(실험) 내용 및 방법1. 멀티플렉서(MUX : multiplexer) - 위 ... ( 2 downto 0 ); o : out std_logic ); end entity mux8;4장. 설계(실험) 결과먼저 2x1 MUX를 구현한다.  코드의 사용은 이론에서 설명
    Non-Ai HUMAN
    | 리포트 | 25페이지 | 2,000원 | 등록일 2010.09.09
  • RS와D플립플롭실험(예비)
    하다.- 참고문헌 : VHDL을 이용한 디지털 논리회로 설계(William Kleitz)오실로스코프로는 관측하는 신호가 시간에 대하여 어떻게 변화하는가를 조사하는 것이 주목적인데 ... 한다. 0으로 유지된던 신호가 1의 신호가 짧게 나온다면 이를 펄스라고 한다.(2) Source : 트리거 신호를 얻는 채널을 설정한다.-참고문헌 : , 정보통신실습교재? 실험 설계 및 ... 점수를 빼는 방식※ 결석 1회는 4점 감점, 지각 1회는 1점 감점※ 보고서를 금주 금요일 이후에 제출한 학생은 감점 처리※ 실험을 정상적으로 수행하지 않고, 데이터를 조작
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 2,000원 | 등록일 2012.10.11 | 수정일 2013.11.18
  • VHDL-Final Project Digital Clock 만들기 <- A+
    ---------------------------------------------1. 실험 목적2. 1조 실험 계획표3. 각 조원들 간의 역할 분담 예정 사항4. 설계시 기본 숙지 ... 분담1. 실험 목적지금까지 배운 Spartan b/d 를 구현 하기 위한 VHDL 코드를 이용한 Digital Clock code를 작성하고, Spartan b/d ... 에 Download 하여 LCD 에 시계가 표현되도록 설계한다.2. 1조 실험 계획표11/17 ~ 11/19 : Proposal of design for digital clock 토론 및 간략
    Non-Ai HUMAN
    | 리포트 | 27페이지 | 3,500원 | 등록일 2009.06.29
  • Traffic Light Controller
    를 분석?이해, 수정하는 과정을 통해VHDL 언어를 이해한다.▶ Quartus II 사용을 통해 설계 능력과 Test Bench를 사용을 통해Simulation 능력을 향상 ... 시킨다.▶ HBE-ComboⅡ보드에서 동작상태를 확인하여Simulation 결과와 동작상태를 비교 분석한다.▶ VHDL과 COMBO를 통하여 디지털 논리 회로 설계에 대해 공부한다.1.2 ... Lights Module을 이용하여실제 실생활에 사용되는 신호등의 컨트롤러를 구현한다.< HBE-COMBO II >▶ 실험 자료의 Traffic Light Controller Code
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 5,000원 | 등록일 2012.03.11
  • (합격 자기소개서) 전자업체 범용 지원 자기소개서
    컨트롤러에 비디오신호를 생성해 신호를 인가하여 화면에 표시하는 회로를 VHDL 코딩을 통해 FPGA로 구현하면서 구동회로의 설계 방법 등의 다양한 분야를 교육받아 이를 제 지식 ... 를 운용하고 실제 이론과 실험을 익혀가면서 스스로의 전문성과 능력을 향상시켜왔다고 생각합니다. 여기에 저에게 있어서는 전공을 깊이 파고들면서 생긴 다양한 궁금증을 해소할 수 있 ... 보다는 ‘나는 할 수 있다.’라고 자기 암시를 반복하고, 제가 갖고 있는 긍정적인 요소를 먼저 생각하려고 노력합니다. 전자공학을 전공하며 수많은 실험을 할 때, 실패도 많이 겪어보
    Non-Ai HUMAN
    | 자기소개서 | 2페이지 | 3,000원 | 등록일 2013.02.06
  • VHDL-Pre lab - Mux and DeMUX
    -------------------------------1. 실험 목적MUX와 DEMUX에 대한 이해와 이를 이용한 다양한 VHDL coding에 대해 알아보도록 한다. 또한 c ... 성립한다.- write VHDL codes< with selct 구문 이용한 VHDL code>이번 실험에서 조교님이 교안에 나온 Dataflow model과 상이하게 짜는 것 ... 한 delay가 생기면서 실행되는 과정을 확인 할 수 있다.5. 실험시 주의사항이번 실험은 MUX와 DEMUX에 대한 완벽한 이해가 필요하며, 좀 더 VHDL coding의 다양
    Non-Ai HUMAN
    | 리포트 | 13페이지 | 2,000원 | 등록일 2009.06.29
  • 로직웍스를 이용한 논리회로 구현
    2009년 논리회로 설계보고서제출자 이름제출자 학번제출자 분반담당교수마감일시제출일시이메일 주소점 수/ (점수/만점)--- 목 차 ---1. 시뮬레이터 요약2. 실험 1: 기본 ... 논리게이트 설계 및 구현3. 실험 2: 불 대수와 드로르간의 정리 설계 및 구현4. 실험 3: Exclusive-OR 게이트 설계 및 구현5. 실험 4: 가산기와 감산기 설계 및 ... 구현6. 실험 5: 인코더와 디코더 설계 및 구현7. 결론1. 시뮬레이터 요약이번 과제에 사용한 프로그램은 Logic Works 5 로 로직웍스에서 제공하는 소자로는 74시리즈, 입
    Non-Ai HUMAN
    | 리포트 | 42페이지 | 1,500원 | 등록일 2010.04.28
  • 논리회로설계실험 스텝모터 제어기의 설계
    1.VHDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 3,000원 | 등록일 2010.12.22
  • 논리회로 설계실험 memory 설계
    Memory 설계1. Introduction1)ROM과 RAM의 특징을 안다.2)RAM(Random Access Memory)를 VHDL로 구현 한다.3)VHDL 문법 중 ... Type declarations에 대해서 안다.2. Problem Statement① Describe what is the problem.Purpose: 메모리를 설계하려면 가장 먼저 ... 데이터를 저장할 수 있는 공간을 만들어야 하는데, 그 공간을 어떻게 만들 수 있는지와 데이터를 메모리에 쓸 때 어떤 문법을 사용하여 쓸 수 있는지에 대해 초점을 맞춰 설계
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,000원 | 등록일 2009.07.10
  • VHDL-Pre lab - Counters
    목적Counter에 대해 이해하고 동기식과 비동기식의 Reset Counter 의 차이를 이해하고 설계한다.2. 실험이론지식 - Describe in details the ... ----------------1. 실험 목적2. 실험 이론 지식 - Asynchronous/Synchronous Counters3. Design a 8-bit up counter ... functional behaviors-write VHDL codes-make an input/output pin assignment-write the test bench for the
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 2,000원 | 등록일 2009.06.29
  • VHDL코드를 이용한 spartan-3 보드구현(spartan 보드 사용법)
    전자전기컴퓨터설계실험ⅢThe Usage of Xilinx ISE on Spartan-3목 차 HYPERLINK \l "실험목적" 실험 목적 HYPERLINK \l "실험도구 ... 을 맞추고, Xilinx ISE 9.2i프로그램을 사용하여 VHDL을 이용한 디지털 회로 설계를 관리하는 project의 생성과 관리 그리고 시뮬레이션과 에뮬레이션을 통하여 실습 ... 의 전체적인 흐름을 파악하도록 한다. 즉, VHDL코드를 편집하고, 저장, 가져오기 등 HDL editor에 관한 것과 설계된 코드의 기능적인 관점을 시뮬레이션 하기위한
    Non-Ai HUMAN
    | 리포트 | 29페이지 | 3,000원 | 등록일 2008.06.01
  • VHDL-Pre lab - Mbit 가산기와비교기 !! (A+리포트 보장)
    Fullader와 4bit comparator를 이용하여 8bit 가산기 및 비교기를 VHDL code로 디자인 해본다.2. 실험 이론지식 M-bit Adder / M-bit c ... behaviors를 기술해야 한다. 솔직히 말하면 이를 coding 하기 이전에 VHDL 언어에 대한 기본적인 학습이 절대적으로 필요하다. 이전까지 실험에 쓰였던 VHDL 언어는 초급에 불과 ... adder / 8bit adder를 coding 해보자.– make VHDL codes1 bit adder 의 설계는 비교적 쉬운 편이다. 좀 까다로운 부분이 있다면 signal
    Non-Ai HUMAN
    | 리포트 | 17페이지 | 2,500원 | 등록일 2009.06.29
  • 기본 GATE설계 결과보고서
    실험제목: 기본 GATE 설계(결과보고서)- 목 차 -0. 예비조사 및 실험 내용의 이해0.0. 기본 GATE 란?1.1.1 AND 게이트1.1.2 OR 게이트1.1.3 NOT ... (AND 게이트)- 실험 방법 및 내용 설명 -? 실험순서① AND 게이트 동작을 VHDL로 기술② Waveform으로 조건 기술③ ModelSim으로 시뮬레이션- 주요부분 코드 ... 이 “1”상태로 되는 게이트를 AND게이트라 한다.2.2 실험2(OR 게이트)- 실험 방법 및 내용 설명 -? 실험순서① OR 게이트 동작을 VHDL로 기술② Waveform
    Non-Ai HUMAN
    | 리포트 | 19페이지 | 1,000원 | 등록일 2009.05.03
  • 전문가요청 배너
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 11월 30일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:46 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감