• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 위잇 도시락 이벤트

고급디지털회로설계 - First 111 & Last two consecutive 111 detector

*동*
개인인증판매자스토어
최초 등록일
2008.05.04
최종 저작일
2019.04
9페이지/한글파일 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

연속으로 나타나는 111을 감지하는 디텍터를 설계한다.

목차

1. Specification
2. I/O definition
3. Block Diagram
4. state diagram & schematic design
5. 토의 및 결과분석

본문내용

<< First 111 & Last two consecutive 111 detector >>

1. Specification
1) Input : 1-bit data stream(or sequence)
2) Output : 1 if the first 111 patern and last two consecutive
111 paterns are detected
ex) In : *************100
Out : *************000

5. 토의 및 결과분석
: First 111 & Last two consecutive 111 detector를 설계하기 위한 과정을 진행해 보았다. 이론적으로 잘못된 부분 없이 설계하였다고 생각했으나, ISE를 이용한 시뮬레이션 과정에서 계속된 오류로 더 이상 진행이 불가능하였다. 그 후 MAX2PLUS와 QUARTUS II를 이용하여 시뮬레이션을 시도하였으나 마찬가지로 오류가 발생하거나 아무런 파형이 나타나지 않아 시뮬레이션을 통한 확인이 불가능하였다.

참고 자료

Stephen Brown 저, 서동신 역,『VHDL 설계를 이용한 디지털 논리』, 발행지: 한국맥그로힐, 2000.
*동*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우
최근 본 자료더보기
탑툰 이벤트
고급디지털회로설계 - First 111 &amp; Last two consecutive 111 detector
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업