엔코더
- 최초 등록일
- 2006.10.31
- 최종 저작일
- 2006.01
- 9페이지/ 한컴오피스
- 가격 1,000원
소개글
디지털공학 레포트
목차
결과보고서
엔코더
디코더
예비보고서
래치
플리플롭
레지스터
본문내용
1.엔코더
38 엔코더
library ieee;
use ieee.std_logic_1164.all;
entity en is
port(d:in std_logic_vector(7 downto 0);
x:out std_logic_vector(2 downto 0));
end en;
architecture arc1 of en is
begin
process(d)
begin
case d is when "00000001" =>x<="000";
when "00000010" =>x<="001";
when "00000100" =>x<="010";
when "00001000" =>x<="011";
when "00010000" =>x<="100";
when "00100000" =>x<="101";
when "01000000" =>x<="110";
when "10000000" =>x<="111";
when others =>x<="---";
end case;
end process;
end arc1;
참고 자료
없음