• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

[전자]복호기와 부호기

*대*
개인인증판매자스토어
최초 등록일
2005.08.05
최종 저작일
2005.05
2페이지/한글파일 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

좋은자료 되셨으면합니다

목차

1. 계수기
(1)계수기(카운터)
(2)n-진 계수기
2. 부호 변환기
(1)복호기와 부호기

본문내용

ꁧ계수기(카운터)
계수기는 JK FF의 JK 입력을 같이 묵거나 T FF을 직렬 연결하여 만든다. T FF의 T 입력단에 1을 인가하고 클럭 입력 단에 외부 클럭을 연결하면 입력 클럭이 0에서 1로 바뀔 때 FF의 출력 상태가 바뀌게 된다. 결국 입력 클럭이 2번 상태가 바뀌면 출력 상태는 1번으로 바뀌게 되어 T FF을 2진 계수기로 사용할 수 있다. 쉬프트 레지스터와 달리 외부 클럭을 JK FF의 클럭 입력 단에 연결하고 그 출력을 다음 JK FF의 클럭 입력 단에 연결하면 4진 링 카운터를 쉽게 구성할 수 있다. 동기 카운터. 상승/하강 카운터. n진 카운터. 조합 논리 회로를 함께 사용하여 사전 설정가능한 프로그래머블 카운터를 만들 수 있다.

그림 7-1의 2진 카운터는 트레이싱 신호를 정확하게 계수함으로서 정상동작 상태를 확인 할 수가 있다. 카운터 초기상태 ABC = 000일 경우 첫 번째 펄스는오직 에만 전달되어 상태는 001로 변한다. 두 번째 펄스가 들어오면 와 에 인가되어 상태는 010으로 변한다. 이런 과정은 상태가 111에 도달할 때 까지 연속적으로 발생한다. 이 상태에서 펄스가 들어오면 에 인가되고, 모든 플립-플롭들은 0상태로 돌아온다.

참고 자료

없음
*대*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 아주대 논리회로실험 실험결과7 복호기와 부호기 (Decoder & Encoder) 9페이지
    사람이 사용하는 10진수를 컴퓨터가 사용하는 2진수로 변환하는 것으로 부호화라고 ... 컴퓨터가 사용하는 수인 2진수를 사람이 사용하는 10진수로 변환하는 것으로 복호화라고 ... 우리주변의 많은 것들이 이러한 원리로 이루어져 있구나를 느끼면서 내가 가고있는 전자공학도의
  • 워드파일 예비보고서6_디지털통신2_순환 부호화, 조직적 순환 부호 3페이지
    체계적 블록 부호를 사용하면 복호기 구현이 간단해질 수 있다. ... 특징 매우 간단하고 저렴한 전자회로로 쉽게 구현이 가능하다. ... 부호화/복호화 알고리즘을 도모할 수 있다.
  • 한글파일 디지털 논리회로 실험 3주차 Encoder와 Decoder 결과보고서 12페이지
    이번 실험에서는 디코더(복호기)와 인코더(부호기) 회로를 구성하여 각각의 ... 기본실험(1),(2)는 2-bit 복호기와 2-bit 부호기의 진리표를 확인해보는 ... 기본 실험 (1) [그림 1]과 같이 2-bit 복호기를 결선한 후 아래
  • 워드파일 결과보고서6_디지털통신2_순환 부호화, 조직적 순환 부호 17페이지
    체계적 블록 부호를 사용하면 복호기 구현이 간단해질 수 있다. ... 특징 매우 간단하고 저렴한 전자회로로 쉽게 구현이 가능하다. ... 부호화/복호화 알고리즘을 도모할 수 있다.
  • 워드파일 기초전자회로실험 예비보고서 - 인코더, 디코더와 7-Segment Display 9페이지
    BCD-십진 복호기(BCD to Decimal Decoder) 십진 BCD부호기를 ... 디코더(Decode) 디코드는 인코드의 반대말로 정보의 부호복호하는 작업이고 ... 표현하고 있는 그림이며, 가장 많이 쓰이는 인코더 디코더로 십진-BCD부호기
더보기
최근 본 자료더보기
탑툰 이벤트
[전자]복호기와 부호기
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업