[디지털 공학] VHDL을 이용하여 전자키 설계
- 최초 등록일
- 2005.07.12
- 최종 저작일
- 2005.06
- 5페이지/ 압축파일
- 가격 2,000원
소개글
이건 제가 한거구요 전체 10자리 숫자로 0~9까지 해서 만든거구요 비밀 번호는 다섯자리 입력하는 겁니다. 제가 확실히 돌려보고 교수님께 시연까지 한거니깐 믿을만 할 것입니다.
목차
전자키 VHDL소스
레포트
FPGA를 돌리기위한 비트파일
VHDL전체 파일
본문내용
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity digital_key is
Port ( clk : in std_logic;
reset : in std_logic;
key : in std_logic_vector(9 downto 0);
door : out std_logic;
beep : out std_logic);
end digital_key;
architecture Behavioral of digital_key is
type states is (state0, state1, state2, state3, state4, state5, err1, err2, err3, err4, err5);
signal state, next_state : states;
signal key_en, key_en1 : std_logic;
참고 자료
없음
압축파일 내 파일목록
digital_key.bit
레포트.hwp
전자키 VHDL소스.txt
전자키.zip