• LF몰 이벤트
  • 캠퍼스북
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

A+학점인증 디지털시스템설계 과제3 보고서 묵찌빠 게임 State Machine Design(코드, 설명 포함)

반도체읽어주는남자
개인인증판매자스토어
최초 등록일
2021.04.07
최종 저작일
2016.05
11페이지/파일확장자 압축파일
가격 4,000원 할인쿠폰받기
다운로드
장바구니

소개글

안녕하세요.
'반도체읽어주는남자'입니다.

- 본인 인증
- 전자공학과 졸업 인증
- 삼성전자 반도체공정 재직 인증

- 디지털시스템설계 A+학점 인증

직접 작성한 자료들입니다.

목차

1. 목표
2. VHDL 코드
3. 코드 설명
4. Test bench 코드
5. 코드 설명
6. State / Output Table
7. Stage Diagram

본문내용

목표
- 아래와 같은 조건의 묵찌빠게임을 State machine으로 설계하고 VHDL을 이용하여 구현할 수 있다.

<중 략>

2) test bench simulation
-첫 번째 12클럭은 과제 PPT를 그대로 구현한 것이다.(Reset=0)
-모든 시뮬레이션이 rising edge에서 동작하는 것으로 보아 클럭에 동기화되었음을 알 수 있다.
-시뮬레이션 결과 Reset값이 0이므로 정상 작동하며 PPT와 결과가 일치하였다.
-맨 밑 두줄을 통해 state로 정의해 주었던 값들이 시뮬레이션에서 그대로 확인 할 수 있음을 알 수 있다.

-두 번째 12클럭은 과제 PPT를 Reset이 1인 상태로 구현한 것이다. Reset값이 1이므로 AW와 BW 그리고 Draw가 나타나지 않는다.
-시뮬레이션을 통해 RST이 정상 작동함을 확인 할 수 있다.

3) State/Output Table
-State/Output table이다. 세로 굵은 줄을 기준으로 왼쪽은 RST(Reset)값이 0이고 오른쪽은 Reset값이 assert 되었을 때이다. Reset값이 들어오면 무조건 init state로 가며 출력은 내보내지 않는다.
-주어진 state machine은 현재의 상태와 입력에 의해여 출력이 결정되므로 mealy machine이다.
-위의 State/Output table은 invalid sign을 냈을 경우를 포함하였다.

참고 자료

없음

압축파일 내 파일목록

디지털시스템설계 학점 인증.jpg
디지털시스템설계_과제3_보고서_묵찌빠 게임_State Machine Design.hwp

자료후기(1)

반도체읽어주는남자
판매자 유형Gold개인인증
소개
안녕하세요.
'반도체읽어주는남자'입니다.

- 본인 인증
- 전자공학 졸업 인증
- 삼성전자 재직 인증
전문분야
자기소개서, 시험자료, 공학/기술
판매자 정보
학교정보
전자공학부 졸업
직장정보
비공개
자격증
  • 비공개

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
A+학점인증 디지털시스템설계 과제3 보고서 묵찌빠 게임 State Machine Design(코드, 설명 포함)
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업