• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL 디지털 시계 digital watch

소희짱
개인인증판매자스토어
최초 등록일
2017.11.26
최종 저작일
2017.04
15페이지/한글파일 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

* 본 문서는 한글 2005 이상 버전에서 작성된 문서입니다. 한글 2002 이하 프로그램에서는 열어볼 수 없으니, 한글 뷰어프로그램(한글 2005 이상)을 설치하신 후 확인해주시기 바랍니다.

목차

1. 실습목적

2. 이론

3. 실습내용 및 결과
(1) 1초 생성기
(2) 60진/12진 카운터
(3) MUX
(4) FND 디코더
(5) Digital Watch

4. 실습 소감

본문내용

1. 실습목적
디지털 타이머에 필요한 카운터들을 직접 만들어 보고, Component 구문을 활용하여 1초마다 시간이 흐르는 디지털 시계를 설계한다.

2. 이론
(1) 계층구조(Hierarchy Structure), Top Entity
계층구조란 전체 시스템의 설계를 하나의 디자인이 아닌 기능별로 구분된 최소 모듈(블록) 단위로 설계하고 이를 Top-Down 구조로 연결, 협엽/분업 설계(Bottom-Up)
예를 들어, 복잡한 설계는 팀 단위로 일을 한다. 그 중 팀을 관리하는 팀장(리더)가 팀원에게 설계하라고(협역) 지시하는 것과 비슷하다고 생각하면 된다.

Top Entity는 디자인이 여러 개가 존재할 때 제일 상위에 있는 것으로 나머지 디자인을 포함한다. Top Entity로 Schematic을 사용할지 VHDL을 사용할 지는 설계하는 사람(리더)이 결정한다.

Top Entity Schematic
장점: 심볼 형태->한눈에 알아보기 쉬움
즉, 전체적 구성을 알기 쉬움
단점: 복잡하고 Top Disign은 쿼터스 프로그램 밖에 못 쓴다.
VHDL
장점: 디자인이 바뀌어도 사용 가능하다
단점: 전체구조를 알아보기 힘들다.

참고 자료

없음

자료후기(1)

소희짱
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 VHDL_5_Digital Watch, 1초 생성기, 60진24진 카운터, MUX, FND decoder 22페이지
    Digital Watch는 시간이 정상적으로 동작하는 시계의 기능과 시간을 ... 실습제목: Digital Watch 1. ... FND에 출력하기 위한 out 포트들 선언. 20~58) 이전에 설계한 vhdl
  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (10) Final Project 110페이지
    Final Project : Digital Watch post-lab report ... Final Project : Digital Watch post-lab report ... [표 1] 디지털 시계에서 구현할 기능 2. 실험 이론 2.1.
  • 파일확장자 VHDL - Digital Watch 소스. 정상 동작 확인. A+ 55페이지
    VHDL - Digital Watch 소스 입니다. ... 디지털 설계 , 콤보2 장비를 사용했습니다. ... vhdl 프로그램 소스입니다.
  • 한글파일 VHDL을 이용한 digital watch 설계 19페이지
    VHDL 및 실습 Report Digital Watch 제출일 2013년 ... 실습명 : Digital Watch 2. 실습 목표 ? ... 계층구조를 사용하여 디지털시계VHDL로 구현하고 DE2 보드동작을 확인한다
  • 한글파일 VHDL을 이용한 디지털시계설계 31페이지
    VHDL을 이용한 디지털시계 4. VHDL Code 5. ... 전체적인 디지털시계설계 소개 - VHDL 구문을 이용하여 디지털시계를 설계하는 ... su_nam of watch_seg_hwang is -- 디지털시계 구현
더보기
최근 본 자료더보기
탑툰 이벤트
VHDL 디지털 시계 digital watch
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업