• 캠퍼스북
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

디지털공학실험 09. UPDOWNCounter 결과

*현
개인인증판매자스토어
최초 등록일
2017.06.29
최종 저작일
2016.01
5페이지/워드파일 MS 워드
가격 1,000원 할인쿠폰받기
다운로드
장바구니

목차

1. VHDL 코드
2. 결과 파형
3. 결론 및 고찰

본문내용

01. VHDL 코드
[ Counter VHDL Module Code ]
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;

-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;

<중 략>

03. 결론 및 고찰
Up_Down_Counter 설계에서는 우선 비동기식 reset을 넣어 reset = 0일때 데이터의 Output 을 0000 십진수 0으로 초기화하고, reset = 1인경우 클럭이 상승엣지에서 엑티브되며, direction std_logic값을 이용해 direction = 0 인 경우 카운터가 +1씩 증가, direction = 1 인 경우 카운터가 -1씩 감소하도록 설계하였다.

참고 자료

없음
*현
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우
최근 본 자료더보기
탑툰 이벤트
디지털공학실험 09. UPDOWNCounter 결과
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업