• LF몰 이벤트
  • 캠퍼스북
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

vhdl을 이용한 디지털시계+스탑워치 설계

*준*
최초 등록일
2012.06.28
최종 저작일
2012.06
13페이지/파일확장자 압축파일
가격 무료 할인쿠폰받기
다운로드

소개글

vhdl을 이용한 디지털시계+스탑워치 설계입니다.
쿼터스9.0으로 설계하였습니다.
보드는 ALTERA-DE2 사용했습니다
탑디자인만 Schematic 디자인으로 설계하였으며
각각의 블록은 모두 VHDL로 설계하였습니다
소개를 위한 피피티 포함입니다.

목차

없음

본문내용

기능

시계 Run/Set 모드
- 모드 변경키를 이용하여 Run/Set 모드 변경 가능
날짜 Run/Set 모드
- 날짜 모드 진입 후 최초 Run 모드
- Key[2]를 누르면 Set모드 진입, 계속 누를 시 다시 Run모드
- 각각의 월에 따른 일 지원(28일, 30일, 31일) 윤달 미 지원
알람 Run/Set 모드
- 알람 모드 진입 후 최초 Run 모드
- Key[2]를 누르면 Set모드 진입, 계속 누를 시 다시 Run모드
- 시계와 알람의 시간이 일치하면 LEDG[8] 1분동안 깜빡임
스탑워치 모드
- Key[2]를 누를 경우 FND와 시간 카운트 모두 정지
- Key[3]을 누를 경우 FND만 정지, 시간 카운트 계속 동작, 다시 Key[3]을 누르면 현재 카운트 되고 있는 시간부터 FND 동작
그 외
- 리셋기능 분리(시계+날짜, 스탑워치)
- Set모드 진입 시, Key[3] 누르고 있으면 자동 카운팅

State문을 이용하여 총 5개의 모드 구현
(시계 Run, 시계 Set, 날짜 Run/Set, 알람 Run/Set 모드, 스탑워치)
Key[1]을 누를 때마다 모드 변경
State_sum을 통해 하나의 출력을 만들어서 FND에 출력되는 모드(값) 결정

참고 자료

없음

압축파일 내 파일목록

D_watch_ok(최종본)/ALARM_CHECK/alarm.vhd
D_watch_ok(최종본)/ALARM_CHECK/alarm.vhd.bak
D_watch_ok(최종본)/ALARM_SET/ALARM_SET.vhd
D_watch_ok(최종본)/ALARM_SET/ALARM_SET.vhd.bak
D_watch_ok(최종본)/ampm/ampm.done
D_watch_ok(최종본)/ampm/ampm.flow.rpt
D_watch_ok(최종본)/ampm/ampm.map.rpt
D_watch_ok(최종본)/ampm/ampm.map.summary
D_watch_ok(최종본)/ampm/ampm.qpf
D_watch_ok(최종본)/ampm/ampm.qsf
D_watch_ok(최종본)/ampm/ampm.qws
D_watch_ok(최종본)/ampm/ampm.vhd
D_watch_ok(최종본)/ampm/ampm.vhd.bak
D_watch_ok(최종본)/ampm/db/ampm.(0).cnf.cdb
D_watch_ok(최종본)/ampm/db/ampm.(0).cnf.hdb
D_watch_ok(최종본)/ampm/db/ampm.cbx.xml
D_watch_ok(최종본)/ampm/db/ampm.cmp.rdb
D_watch_ok(최종본)/ampm/db/ampm.cmp_merge.kpt
D_watch_ok(최종본)/ampm/db/ampm.db_info
D_watch_ok(최종본)/ampm/db/ampm.eco.cdb
D_watch_ok(최종본)/ampm/db/ampm.hier_info
D_watch_ok(최종본)/ampm/db/ampm.hif
D_watch_ok(최종본)/ampm/db/ampm.lpc.html
D_watch_ok(최종본)/ampm/db/ampm.lpc.rdb
D_watch_ok(최종본)/ampm/db/ampm.lpc.txt
D_watch_ok(최종본)/ampm/db/ampm.map.bpm
D_watch_ok(최종본)/ampm/db/ampm.map.cdb
D_watch_ok(최종본)/ampm/db/ampm.map.ecobp
D_watch_ok(최종본)/ampm/db/ampm.map.hdb
D_watch_ok(최종본)/ampm/db/ampm.map.kpt
D_watch_ok(최종본)/ampm/db/ampm.map.logdb
D_watch_ok(최종본)/ampm/db/ampm.map.qmsg
D_watch_ok(최종본)/ampm/db/ampm.map_bb.cdb
D_watch_ok(최종본)/ampm/db/ampm.map_bb.hdb
D_watch_ok(최종본)/ampm/db/ampm.map_bb.logdb
D_watch_ok(최종본)/ampm/db/ampm.pre_map.cdb
D_watch_ok(최종본)/ampm/db/ampm.pre_map.hdb
D_watch_ok(최종본)/ampm/db/ampm.rpp.qmsg
D_watch_ok(최종본)/ampm/db/ampm.rtlv.hdb
D_watch_ok(최종본)/ampm/db/ampm.rtlv_sg.cdb
D_watch_ok(최종본)/ampm/db/ampm.rtlv_sg_swap.cdb
D_watch_ok(최종본)/ampm/db/ampm.sgate.rvd
D_watch_ok(최종본)/ampm/db/ampm.sgate_sm.rvd
D_watch_ok(최종본)/ampm/db/ampm.sgdiff.cdb
D_watch_ok(최종본)/ampm/db/ampm.sgdiff.hdb
D_watch_ok(최종본)/ampm/db/ampm.sld_design_entry.sci
D_watch_ok(최종본)/ampm/db/ampm.sld_design_entry_dsc.sci
D_watch_ok(최종본)/ampm/db/ampm.syn_hier_info
D_watch_ok(최종본)/ampm/db/ampm.tis_db_list.ddb
D_watch_ok(최종본)/ampm/db/ampm.tmw_info
D_watch_ok(최종본)/ampm/db/prev_cmp_ampm.map.qmsg
D_watch_ok(최종본)/ampm/db/prev_cmp_ampm.qmsg
D_watch_ok(최종본)/ampm/incremental_db/compiled_partitions/ampm.root_partition.map.atm
D_watch_ok(최종본)/ampm/incremental_db/compiled_partitions/ampm.root_partition.map.dpi
D_watch_ok(최종본)/ampm/incremental_db/compiled_partitions/ampm.root_partition.map.hdbx
D_watch_ok(최종본)/ampm/incremental_db/compiled_partitions/ampm.root_partition.map.kpt
D_watch_ok(최종본)/ampm/incremental_db/README
D_watch_ok(최종본)/cnt60/cnt60.done
D_watch_ok(최종본)/cnt60/cnt60.flow.rpt
D_watch_ok(최종본)/cnt60/cnt60.map.rpt
D_watch_ok(최종본)/cnt60/cnt60.map.summary
D_watch_ok(최종본)/cnt60/cnt60.qpf
D_watch_ok(최종본)/cnt60/cnt60.qsf
D_watch_ok(최종본)/cnt60/cnt60.qws
D_watch_ok(최종본)/cnt60/cnt60.sim.rpt
D_watch_ok(최종본)/cnt60/cnt60.vhd
D_watch_ok(최종본)/cnt60/cnt60.vhd.bak
D_watch_ok(최종본)/cnt60/cnt60.vwf
D_watch_ok(최종본)/cnt60/db/cnt60.(0).cnf.cdb
D_watch_ok(최종본)/cnt60/db/cnt60.(0).cnf.hdb
D_watch_ok(최종본)/cnt60/db/cnt60.cbx.xml
D_watch_ok(최종본)/cnt60/db/cnt60.cmp.rdb
D_watch_ok(최종본)/cnt60/db/cnt60.cmp_merge.kpt
D_watch_ok(최종본)/cnt60/db/cnt60.db_info
D_watch_ok(최종본)/cnt60/db/cnt60.eco.cdb
D_watch_ok(최종본)/cnt60/db/cnt60.eds_overflow
D_watch_ok(최종본)/cnt60/db/cnt60.fnsim.cdb
D_watch_ok(최종본)/cnt60/db/cnt60.fnsim.hdb
D_watch_ok(최종본)/cnt60/db/cnt60.fnsim.qmsg
D_watch_ok(최종본)/cnt60/db/cnt60.hier_info
D_watch_ok(최종본)/cnt60/db/cnt60.hif
D_watch_ok(최종본)/cnt60/db/cnt60.lpc.html
D_watch_ok(최종본)/cnt60/db/cnt60.lpc.rdb
D_watch_ok(최종본)/cnt60/db/cnt60.lpc.txt
D_watch_ok(최종본)/cnt60/db/cnt60.map.bpm
D_watch_ok(최종본)/cnt60/db/cnt60.map.cdb
D_watch_ok(최종본)/cnt60/db/cnt60.map.ecobp
D_watch_ok(최종본)/cnt60/db/cnt60.map.hdb
D_watch_ok(최종본)/cnt60/db/cnt60.map.kpt
D_watch_ok(최종본)/cnt60/db/cnt60.map.logdb
D_watch_ok(최종본)/cnt60/db/cnt60.map.qmsg
D_watch_ok(최종본)/cnt60/db/cnt60.map_bb.cdb
D_watch_ok(최종본)/cnt60/db/cnt60.map_bb.hdb
D_watch_ok(최종본)/cnt60/db/cnt60.map_bb.logdb
D_watch_ok(최종본)/cnt60/db/cnt60.pre_map.cdb
D_watch_ok(최종본)/cnt60/db/cnt60.pre_map.hdb
D_watch_ok(최종본)/cnt60/db/cnt60.rtlv.hdb
D_watch_ok(최종본)/cnt60/db/cnt60.rtlv_sg.cdb
D_watch_ok(최종본)/cnt60/db/cnt60.rtlv_sg_swap.cdb
D_watch_ok(최종본)/cnt60/db/cnt60.sgdiff.cdb
D_watch_ok(최종본)/cnt60/db/cnt60.sgdiff.hdb
D_watch_ok(최종본)/cnt60/db/cnt60.sim.hdb
D_watch_ok(최종본)/cnt60/db/cnt60.sim.qmsg
D_watch_ok(최종본)/cnt60/db/cnt60.sim.rdb
D_watch_ok(최종본)/cnt60/db/cnt60.simfam
D_watch_ok(최종본)/cnt60/db/cnt60.sim_ori.vwf
D_watch_ok(최종본)/cnt60/db/cnt60.sld_design_entry.sci
D_watch_ok(최종본)/cnt60/db/cnt60.sld_design_entry_dsc.sci
D_watch_ok(최종본)/cnt60/db/cnt60.syn_hier_info
D_watch_ok(최종본)/cnt60/db/cnt60.tis_db_list.ddb
D_watch_ok(최종본)/cnt60/db/cnt60.tmw_info
D_watch_ok(최종본)/cnt60/db/prev_cmp_cnt60.map.qmsg
D_watch_ok(최종본)/cnt60/db/prev_cmp_cnt60.qmsg
D_watch_ok(최종본)/cnt60/db/prev_cmp_cnt60.sim.qmsg
D_watch_ok(최종본)/cnt60/db/wed.wsf
D_watch_ok(최종본)/cnt60/incremental_db/compiled_partitions/cnt60.root_partition.map.atm
D_watch_ok(최종본)/cnt60/incremental_db/compiled_partitions/cnt60.root_partition.map.dpi
D_watch_ok(최종본)/cnt60/incremental_db/compiled_partitions/cnt60.root_partition.map.hdbx
D_watch_ok(최종본)/cnt60/incremental_db/compiled_partitions/cnt60.root_partition.map.kpt
D_watch_ok(최종본)/cnt60/incremental_db/README
D_watch_ok(최종본)/cnt_12/cnt_12.done
D_watch_ok(최종본)/cnt_12/cnt_12.flow.rpt
D_watch_ok(최종본)/cnt_12/cnt_12.map.rpt
D_watch_ok(최종본)/cnt_12/cnt_12.map.summary
D_watch_ok(최종본)/cnt_12/cnt_12.qpf
D_watch_ok(최종본)/cnt_12/cnt_12.qsf
D_watch_ok(최종본)/cnt_12/cnt_12.qws
D_watch_ok(최종본)/cnt_12/cnt_12.sim.rpt
D_watch_ok(최종본)/cnt_12/cnt_12.vhd
D_watch_ok(최종본)/cnt_12/cnt_12.vhd.bak
D_watch_ok(최종본)/cnt_12/cnt_12.vwf
D_watch_ok(최종본)/cnt_12/db/cnt_12.(0).cnf.cdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.(0).cnf.hdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.cbx.xml
D_watch_ok(최종본)/cnt_12/db/cnt_12.cmp.rdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.cmp_merge.kpt
D_watch_ok(최종본)/cnt_12/db/cnt_12.db_info
D_watch_ok(최종본)/cnt_12/db/cnt_12.eco.cdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.eds_overflow
D_watch_ok(최종본)/cnt_12/db/cnt_12.fnsim.cdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.fnsim.hdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.fnsim.qmsg
D_watch_ok(최종본)/cnt_12/db/cnt_12.hier_info
D_watch_ok(최종본)/cnt_12/db/cnt_12.hif
D_watch_ok(최종본)/cnt_12/db/cnt_12.lpc.html
D_watch_ok(최종본)/cnt_12/db/cnt_12.lpc.rdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.lpc.txt
D_watch_ok(최종본)/cnt_12/db/cnt_12.map.bpm
D_watch_ok(최종본)/cnt_12/db/cnt_12.map.cdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.map.ecobp
D_watch_ok(최종본)/cnt_12/db/cnt_12.map.hdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.map.kpt
D_watch_ok(최종본)/cnt_12/db/cnt_12.map.logdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.map.qmsg
D_watch_ok(최종본)/cnt_12/db/cnt_12.map_bb.cdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.map_bb.hdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.map_bb.logdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.pre_map.cdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.pre_map.hdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.rtlv.hdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.rtlv_sg.cdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.rtlv_sg_swap.cdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.sgdiff.cdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.sgdiff.hdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.sim.cvwf
D_watch_ok(최종본)/cnt_12/db/cnt_12.sim.hdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.sim.qmsg
D_watch_ok(최종본)/cnt_12/db/cnt_12.sim.rdb
D_watch_ok(최종본)/cnt_12/db/cnt_12.simfam
D_watch_ok(최종본)/cnt_12/db/cnt_12.sim_ori.vwf
D_watch_ok(최종본)/cnt_12/db/cnt_12.sld_design_entry.sci
D_watch_ok(최종본)/cnt_12/db/cnt_12.sld_design_entry_dsc.sci
D_watch_ok(최종본)/cnt_12/db/cnt_12.syn_hier_info
D_watch_ok(최종본)/cnt_12/db/cnt_12.tis_db_list.ddb
D_watch_ok(최종본)/cnt_12/db/prev_cmp_cnt_12.map.qmsg
D_watch_ok(최종본)/cnt_12/db/prev_cmp_cnt_12.qmsg
D_watch_ok(최종본)/cnt_12/db/prev_cmp_cnt_12.sim.qmsg
D_watch_ok(최종본)/cnt_12/db/wed.wsf
D_watch_ok(최종본)/cnt_12/D_watch.bdf
D_watch_ok(최종본)/cnt_12/incremental_db/compiled_partitions/cnt_12.root_partition.map.atm
D_watch_ok(최종본)/cnt_12/incremental_db/compiled_partitions/cnt_12.root_partition.map.dpi
D_watch_ok(최종본)/cnt_12/incremental_db/compiled_partitions/cnt_12.root_partition.map.hdbx
D_watch_ok(최종본)/cnt_12/incremental_db/compiled_partitions/cnt_12.root_partition.map.kpt
D_watch_ok(최종본)/cnt_12/incremental_db/README
D_watch_ok(최종본)/day_year/cnt_100.bsf
D_watch_ok(최종본)/day_year/cnt_100.vhd
D_watch_ok(최종본)/day_year/cnt_100.vhd.bak
D_watch_ok(최종본)/day_year/cnt_12.bsf
D_watch_ok(최종본)/day_year/cnt_12.vhd
D_watch_ok(최종본)/day_year/cnt_12.vhd.bak
D_watch_ok(최종본)/day_year/cnt_31.bsf
D_watch_ok(최종본)/day_year/cnt_31.vhd
D_watch_ok(최종본)/day_year/cnt_31.vhd.bak
D_watch_ok(최종본)/day_year/day_year.bdf
D_watch_ok(최종본)/day_year/day_year.done
D_watch_ok(최종본)/day_year/day_year.flow.rpt
D_watch_ok(최종본)/day_year/day_year.map.rpt
D_watch_ok(최종본)/day_year/day_year.map.summary
D_watch_ok(최종본)/day_year/day_year.qpf
D_watch_ok(최종본)/day_year/day_year.qsf
D_watch_ok(최종본)/day_year/day_year.qws
D_watch_ok(최종본)/day_year/day_year.sim.rpt
D_watch_ok(최종본)/day_year/day_year.vwf
D_watch_ok(최종본)/day_year/db/day_year.(0).cnf.cdb
D_watch_ok(최종본)/day_year/db/day_year.(0).cnf.hdb
D_watch_ok(최종본)/day_year/db/day_year.(1).cnf.cdb
D_watch_ok(최종본)/day_year/db/day_year.(1).cnf.hdb
D_watch_ok(최종본)/day_year/db/day_year.(2).cnf.cdb
D_watch_ok(최종본)/day_year/db/day_year.(2).cnf.hdb
D_watch_ok(최종본)/day_year/db/day_year.(3).cnf.cdb
D_watch_ok(최종본)/day_year/db/day_year.(3).cnf.hdb
D_watch_ok(최종본)/day_year/db/day_year.(4).cnf.cdb
D_watch_ok(최종본)/day_year/db/day_year.(4).cnf.hdb
D_watch_ok(최종본)/day_year/db/day_year.cbx.xml
D_watch_ok(최종본)/day_year/db/day_year.cmp.rdb
D_watch_ok(최종본)/day_year/db/day_year.cmp_merge.kpt
D_watch_ok(최종본)/day_year/db/day_year.db_info
D_watch_ok(최종본)/day_year/db/day_year.eco.cdb
D_watch_ok(최종본)/day_year/db/day_year.eds_overflow
D_watch_ok(최종본)/day_year/db/day_year.fnsim.cdb
D_watch_ok(최종본)/day_year/db/day_year.fnsim.hdb
D_watch_ok(최종본)/day_year/db/day_year.fnsim.qmsg
D_watch_ok(최종본)/day_year/db/day_year.hier_info
D_watch_ok(최종본)/day_year/db/day_year.hif
D_watch_ok(최종본)/day_year/db/day_year.lpc.html
D_watch_ok(최종본)/day_year/db/day_year.lpc.rdb
D_watch_ok(최종본)/day_year/db/day_year.lpc.txt
D_watch_ok(최종본)/day_year/db/day_year.map.bpm
D_watch_ok(최종본)/day_year/db/day_year.map.cdb
D_watch_ok(최종본)/day_year/db/day_year.map.ecobp
D_watch_ok(최종본)/day_year/db/day_year.map.hdb
D_watch_ok(최종본)/day_year/db/day_year.map.kpt
D_watch_ok(최종본)/day_year/db/day_year.map.logdb
D_watch_ok(최종본)/day_year/db/day_year.map.qmsg
D_watch_ok(최종본)/day_year/db/day_year.map_bb.cdb
D_watch_ok(최종본)/day_year/db/day_year.map_bb.hdb
D_watch_ok(최종본)/day_year/db/day_year.map_bb.logdb
D_watch_ok(최종본)/day_year/db/day_year.pre_map.cdb
D_watch_ok(최종본)/day_year/db/day_year.pre_map.hdb
D_watch_ok(최종본)/day_year/db/day_year.rtlv.hdb
D_watch_ok(최종본)/day_year/db/day_year.rtlv_sg.cdb
D_watch_ok(최종본)/day_year/db/day_year.rtlv_sg_swap.cdb
D_watch_ok(최종본)/day_year/db/day_year.sgdiff.cdb
D_watch_ok(최종본)/day_year/db/day_year.sgdiff.hdb
D_watch_ok(최종본)/day_year/db/day_year.sim.cvwf
D_watch_ok(최종본)/day_year/db/day_year.sim.hdb
D_watch_ok(최종본)/day_year/db/day_year.sim.qmsg
D_watch_ok(최종본)/day_year/db/day_year.sim.rdb
D_watch_ok(최종본)/day_year/db/day_year.simfam
D_watch_ok(최종본)/day_year/db/day_year.sim_ori.vwf
D_watch_ok(최종본)/day_year/db/day_year.sld_design_entry.sci
D_watch_ok(최종본)/day_year/db/day_year.sld_design_entry_dsc.sci
D_watch_ok(최종본)/day_year/db/day_year.syn_hier_info
D_watch_ok(최종본)/day_year/db/day_year.tis_db_list.ddb
D_watch_ok(최종본)/day_year/db/prev_cmp_day_year.map.qmsg
D_watch_ok(최종본)/day_year/db/prev_cmp_day_year.qmsg
D_watch_ok(최종본)/day_year/db/prev_cmp_day_year.sim.qmsg
D_watch_ok(최종본)/day_year/db/wed.wsf
D_watch_ok(최종본)/day_year/FND_DEC.bsf
D_watch_ok(최종본)/day_year/FND_DEC.vhd
D_watch_ok(최종본)/day_year/incremental_db/compiled_partitions/day_year.root_partition.map.atm
D_watch_ok(최종본)/day_year/incremental_db/compiled_partitions/day_year.root_partition.map.dpi
D_watch_ok(최종본)/day_year/incremental_db/compiled_partitions/day_year.root_partition.map.hdbx
D_watch_ok(최종본)/day_year/incremental_db/compiled_partitions/day_year.root_partition.map.kpt
D_watch_ok(최종본)/day_year/incremental_db/README
D_watch_ok(최종본)/day_year/sec_gen.bsf
D_watch_ok(최종본)/day_year/sec_gen.vhd
D_watch_ok(최종본)/day_year/sec_gen.vhd.bak
D_watch_ok(최종본)/demux1_2/db/demux1_2.(0).cnf.cdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.(0).cnf.hdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.cbx.xml
D_watch_ok(최종본)/demux1_2/db/demux1_2.cmp.rdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.cmp_merge.kpt
D_watch_ok(최종본)/demux1_2/db/demux1_2.db_info
D_watch_ok(최종본)/demux1_2/db/demux1_2.eco.cdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.eds_overflow
D_watch_ok(최종본)/demux1_2/db/demux1_2.fnsim.cdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.fnsim.hdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.fnsim.qmsg
D_watch_ok(최종본)/demux1_2/db/demux1_2.hier_info
D_watch_ok(최종본)/demux1_2/db/demux1_2.hif
D_watch_ok(최종본)/demux1_2/db/demux1_2.lpc.html
D_watch_ok(최종본)/demux1_2/db/demux1_2.lpc.rdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.lpc.txt
D_watch_ok(최종본)/demux1_2/db/demux1_2.map.bpm
D_watch_ok(최종본)/demux1_2/db/demux1_2.map.cdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.map.ecobp
D_watch_ok(최종본)/demux1_2/db/demux1_2.map.hdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.map.kpt
D_watch_ok(최종본)/demux1_2/db/demux1_2.map.logdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.map.qmsg
D_watch_ok(최종본)/demux1_2/db/demux1_2.map_bb.cdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.map_bb.hdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.map_bb.logdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.pre_map.cdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.pre_map.hdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.rtlv.hdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.rtlv_sg.cdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.rtlv_sg_swap.cdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.sgdiff.cdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.sgdiff.hdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.sim.hdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.sim.qmsg
D_watch_ok(최종본)/demux1_2/db/demux1_2.sim.rdb
D_watch_ok(최종본)/demux1_2/db/demux1_2.simfam
D_watch_ok(최종본)/demux1_2/db/demux1_2.sim_ori.vwf
D_watch_ok(최종본)/demux1_2/db/demux1_2.sld_design_entry.sci
D_watch_ok(최종본)/demux1_2/db/demux1_2.sld_design_entry_dsc.sci
D_watch_ok(최종본)/demux1_2/db/demux1_2.syn_hier_info
D_watch_ok(최종본)/demux1_2/db/demux1_2.tis_db_list.ddb
D_watch_ok(최종본)/demux1_2/db/demux1_2.tmw_info
D_watch_ok(최종본)/demux1_2/db/prev_cmp_demux1_2.map.qmsg
D_watch_ok(최종본)/demux1_2/db/prev_cmp_demux1_2.qmsg
D_watch_ok(최종본)/demux1_2/db/prev_cmp_demux1_2.sim.qmsg
D_watch_ok(최종본)/demux1_2/db/wed.wsf
D_watch_ok(최종본)/demux1_2/demux1_2.done
D_watch_ok(최종본)/demux1_2/demux1_2.flow.rpt
D_watch_ok(최종본)/demux1_2/demux1_2.map.rpt
D_watch_ok(최종본)/demux1_2/demux1_2.map.summary
D_watch_ok(최종본)/demux1_2/demux1_2.qpf
D_watch_ok(최종본)/demux1_2/demux1_2.qsf
D_watch_ok(최종본)/demux1_2/demux1_2.qws
D_watch_ok(최종본)/demux1_2/demux1_2.sim.rpt
D_watch_ok(최종본)/demux1_2/demux1_2.vhd
D_watch_ok(최종본)/demux1_2/demux1_2.vhd.bak
D_watch_ok(최종본)/demux1_2/demux1_2.vwf
D_watch_ok(최종본)/demux1_2/incremental_db/compiled_partitions/demux1_2.root_partition.map.atm
D_watch_ok(최종본)/demux1_2/incremental_db/compiled_partitions/demux1_2.root_partition.map.dpi
D_watch_ok(최종본)/demux1_2/incremental_db/compiled_partitions/demux1_2.root_partition.map.hdbx
D_watch_ok(최종본)/demux1_2/incremental_db/compiled_partitions/demux1_2.root_partition.map.kpt
D_watch_ok(최종본)/demux1_2/incremental_db/README
D_watch_ok(최종본)/demux1_2/serv_req_info.txt
D_watch_ok(최종본)/D_watch/alarm.bsf
D_watch_ok(최종본)/D_watch/alarm_set.bsf
D_watch_ok(최종본)/D_watch/ampm.bsf
D_watch_ok(최종본)/D_watch/ampm.vhd
D_watch_ok(최종본)/D_watch/ampm.vhd.bak
D_watch_ok(최종본)/D_watch/auto_counting.bsf
D_watch_ok(최종본)/D_watch/auto_counting.vhd
D_watch_ok(최종본)/D_watch/auto_counting.vhd.bak
D_watch_ok(최종본)/D_watch/bling.bsf
D_watch_ok(최종본)/D_watch/bling.vhd
D_watch_ok(최종본)/D_watch/cnt100.bsf
D_watch_ok(최종본)/D_watch/cnt100.vhd
D_watch_ok(최종본)/D_watch/cnt100.vhd.bak
D_watch_ok(최종본)/D_watch/cnt12.bsf
D_watch_ok(최종본)/D_watch/cnt12.vhd
D_watch_ok(최종본)/D_watch/cnt12.vhd.bak
D_watch_ok(최종본)/D_watch/cnt2_12.bsf
D_watch_ok(최종본)/D_watch/cnt2_12.vhd
D_watch_ok(최종본)/D_watch/cnt2_12.vhd.bak
D_watch_ok(최종본)/D_watch/cnt2_60.bsf
D_watch_ok(최종본)/D_watch/cnt2_60.vhd
D_watch_ok(최종본)/D_watch/cnt2_60.vhd.bak
D_watch_ok(최종본)/D_watch/cnt60.bsf
D_watch_ok(최종본)/D_watch/cnt_100.bsf
D_watch_ok(최종본)/D_watch/cnt_12.bsf
D_watch_ok(최종본)/D_watch/cnt_31.bsf
D_watch_ok(최종본)/D_watch/cnt_60.bsf
D_watch_ok(최종본)/D_watch/cnt_60.vhd
D_watch_ok(최종본)/D_watch/cnt_60.vhd.bak
D_watch_ok(최종본)/D_watch/db/D_watch.(0).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(0).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(1).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(1).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(10).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(10).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(11).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(11).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(12).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(12).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(13).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(13).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(14).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(14).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(15).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(15).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(16).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(16).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(17).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(17).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(18).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(18).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(19).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(19).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(2).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(2).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(20).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(20).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(21).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(21).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(22).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(22).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(23).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(23).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(24).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(24).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(25).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(25).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(26).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(26).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(27).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(27).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(3).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(3).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(4).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(4).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(5).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(5).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(6).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(6).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(7).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(7).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(8).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(8).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.(9).cnf.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.(9).cnf.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.ace_cmp.bpm
D_watch_ok(최종본)/D_watch/db/D_watch.ace_cmp.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.ace_cmp.ecobp
D_watch_ok(최종본)/D_watch/db/D_watch.ace_cmp.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.asm.qmsg
D_watch_ok(최종본)/D_watch/db/D_watch.asm_labs.ddb
D_watch_ok(최종본)/D_watch/db/D_watch.cbx.xml
D_watch_ok(최종본)/D_watch/db/D_watch.cmp.bpm
D_watch_ok(최종본)/D_watch/db/D_watch.cmp.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.cmp.ecobp
D_watch_ok(최종본)/D_watch/db/D_watch.cmp.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.cmp.kpt
D_watch_ok(최종본)/D_watch/db/D_watch.cmp.logdb
D_watch_ok(최종본)/D_watch/db/D_watch.cmp.qrpt
D_watch_ok(최종본)/D_watch/db/D_watch.cmp.rdb
D_watch_ok(최종본)/D_watch/db/D_watch.cmp.tdb
D_watch_ok(최종본)/D_watch/db/D_watch.cmp0.ddb
D_watch_ok(최종본)/D_watch/db/D_watch.cmp_merge.kpt
D_watch_ok(최종본)/D_watch/db/D_watch.db_info
D_watch_ok(최종본)/D_watch/db/D_watch.eco.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.eds_overflow
D_watch_ok(최종본)/D_watch/db/D_watch.fit.qmsg
D_watch_ok(최종본)/D_watch/db/D_watch.fnsim.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.fnsim.qmsg
D_watch_ok(최종본)/D_watch/db/D_watch.hier_info
D_watch_ok(최종본)/D_watch/db/D_watch.hif
D_watch_ok(최종본)/D_watch/db/D_watch.lpc.html
D_watch_ok(최종본)/D_watch/db/D_watch.lpc.rdb
D_watch_ok(최종본)/D_watch/db/D_watch.lpc.txt
D_watch_ok(최종본)/D_watch/db/D_watch.map.bpm
D_watch_ok(최종본)/D_watch/db/D_watch.map.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.map.ecobp
D_watch_ok(최종본)/D_watch/db/D_watch.map.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.map.kpt
D_watch_ok(최종본)/D_watch/db/D_watch.map.logdb
D_watch_ok(최종본)/D_watch/db/D_watch.map.qmsg
D_watch_ok(최종본)/D_watch/db/D_watch.map_bb.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.map_bb.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.map_bb.logdb
D_watch_ok(최종본)/D_watch/db/D_watch.pre_map.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.pre_map.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.rpp.qmsg
D_watch_ok(최종본)/D_watch/db/D_watch.rtlv.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.rtlv_sg.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.rtlv_sg_swap.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.sgate.rvd
D_watch_ok(최종본)/D_watch/db/D_watch.sgate_sm.rvd
D_watch_ok(최종본)/D_watch/db/D_watch.sgdiff.cdb
D_watch_ok(최종본)/D_watch/db/D_watch.sgdiff.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.sim.cvwf
D_watch_ok(최종본)/D_watch/db/D_watch.sim.hdb
D_watch_ok(최종본)/D_watch/db/D_watch.sim.qmsg
D_watch_ok(최종본)/D_watch/db/D_watch.sim.rdb
D_watch_ok(최종본)/D_watch/db/D_watch.simfam
D_watch_ok(최종본)/D_watch/db/D_watch.sim_ori.vwf
D_watch_ok(최종본)/D_watch/db/D_watch.sld_design_entry.sci
D_watch_ok(최종본)/D_watch/db/D_watch.sld_design_entry_dsc.sci
D_watch_ok(최종본)/D_watch/db/D_watch.smp_dump.txt
D_watch_ok(최종본)/D_watch/db/D_watch.syn_hier_info
D_watch_ok(최종본)/D_watch/db/D_watch.tan.qmsg
D_watch_ok(최종본)/D_watch/db/D_watch.tis_db_list.ddb
D_watch_ok(최종본)/D_watch/db/D_watch.tmw_info
D_watch_ok(최종본)/D_watch/db/D_watch_global_asgn_op.abo
D_watch_ok(최종본)/D_watch/db/mux_joc.tdf
D_watch_ok(최종본)/D_watch/db/prev_cmp_D_watch.asm.qmsg
D_watch_ok(최종본)/D_watch/db/prev_cmp_D_watch.fit.qmsg
D_watch_ok(최종본)/D_watch/db/prev_cmp_D_watch.map.qmsg
D_watch_ok(최종본)/D_watch/db/prev_cmp_D_watch.qmsg
D_watch_ok(최종본)/D_watch/db/prev_cmp_D_watch.sim.qmsg
D_watch_ok(최종본)/D_watch/db/prev_cmp_D_watch.tan.qmsg
D_watch_ok(최종본)/D_watch/db/wed.wsf
D_watch_ok(최종본)/D_watch/de2_pin_assignments.csv
D_watch_ok(최종본)/D_watch/demux1_2.bsf
D_watch_ok(최종본)/D_watch/demux1_2.vhd
D_watch_ok(최종본)/D_watch/demux1_2.vhd.bak
D_watch_ok(최종본)/D_watch/D_watch(real).vwf
D_watch_ok(최종본)/D_watch/D_watch.asm.rpt
D_watch_ok(최종본)/D_watch/D_watch.cdf
D_watch_ok(최종본)/D_watch/D_watch.done
D_watch_ok(최종본)/D_watch/D_watch.dpf
D_watch_ok(최종본)/D_watch/D_watch.fit - 복사본.rpt
D_watch_ok(최종본)/D_watch/D_watch.fit.rpt
D_watch_ok(최종본)/D_watch/D_watch.fit.summary
D_watch_ok(최종본)/D_watch/D_watch.flow.rpt
D_watch_ok(최종본)/D_watch/D_watch.map.rpt
D_watch_ok(최종본)/D_watch/D_watch.map.summary
D_watch_ok(최종본)/D_watch/D_watch.pin
D_watch_ok(최종본)/D_watch/D_watch.pof
D_watch_ok(최종본)/D_watch/D_watch.qpf
D_watch_ok(최종본)/D_watch/D_watch.qsf
D_watch_ok(최종본)/D_watch/D_watch.qsf.bak
D_watch_ok(최종본)/D_watch/D_watch.qws
D_watch_ok(최종본)/D_watch/D_watch.sim.rpt
D_watch_ok(최종본)/D_watch/D_watch.sof
D_watch_ok(최종본)/D_watch/D_watch.tan.rpt
D_watch_ok(최종본)/D_watch/D_watch.tan.summary
D_watch_ok(최종본)/D_watch/D_watch.vwf
D_watch_ok(최종본)/D_watch/FND_DEC.bsf
D_watch_ok(최종본)/D_watch/FND_DEC.inc
D_watch_ok(최종본)/D_watch/FND_DEC.vhd
D_watch_ok(최종본)/D_watch/incremental_db/compiled_partitions/D_watch.root_partition.cmp.atm
D_watch_ok(최종본)/D_watch/incremental_db/compiled_partitions/D_watch.root_partition.cmp.dfp
D_watch_ok(최종본)/D_watch/incremental_db/compiled_partitions/D_watch.root_partition.cmp.hdbx
D_watch_ok(최종본)/D_watch/incremental_db/compiled_partitions/D_watch.root_partition.cmp.kpt
D_watch_ok(최종본)/D_watch/incremental_db/compiled_partitions/D_watch.root_partition.cmp.logdb
D_watch_ok(최종본)/D_watch/incremental_db/compiled_partitions/D_watch.root_partition.cmp.rcf
D_watch_ok(최종본)/D_watch/incremental_db/compiled_partitions/D_watch.root_partition.map.atm
D_watch_ok(최종본)/D_watch/incremental_db/compiled_partitions/D_watch.root_partition.map.dpi
D_watch_ok(최종본)/D_watch/incremental_db/compiled_partitions/D_watch.root_partition.map.hdbx
D_watch_ok(최종본)/D_watch/incremental_db/compiled_partitions/D_watch.root_partition.map.kpt
D_watch_ok(최종본)/D_watch/incremental_db/README
D_watch_ok(최종본)/D_watch/mux2_1.bsf
D_watch_ok(최종본)/D_watch/mux2_1_4.bsf
D_watch_ok(최종본)/D_watch/mux2_1_4.vhd
D_watch_ok(최종본)/D_watch/mux2_1_4.vhd.bak
D_watch_ok(최종본)/D_watch/mux_4_2.bsf
D_watch_ok(최종본)/D_watch/pause.bsf
D_watch_ok(최종본)/D_watch/pause.vhd
D_watch_ok(최종본)/D_watch/pause.vhd.bak
D_watch_ok(최종본)/D_watch/RAM.bsf
D_watch_ok(최종본)/D_watch/RAM.vhd
D_watch_ok(최종본)/D_watch/RAM.vhd.bak
D_watch_ok(최종본)/D_watch/sec_gen.bsf
D_watch_ok(최종본)/D_watch/state.bsf
D_watch_ok(최종본)/D_watch/state.vhd
D_watch_ok(최종본)/D_watch/state.vhd.bak
D_watch_ok(최종본)/D_watch/state_3.bsf
D_watch_ok(최종본)/D_watch/state_3.vhd
D_watch_ok(최종본)/D_watch/state_3.vhd.bak
D_watch_ok(최종본)/D_watch/state_4.bsf
D_watch_ok(최종본)/D_watch/state_4.vhd
D_watch_ok(최종본)/D_watch/state_4.vhd.bak
D_watch_ok(최종본)/D_watch/state_sum.bsf
D_watch_ok(최종본)/D_watch/state_sum.vhd
D_watch_ok(최종본)/D_watch/state_sum.vhd.bak
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.(0).cnf.cdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.(0).cnf.hdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.cbx.xml
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.cmp.rdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.cmp_merge.kpt
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.db_info
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.eco.cdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.eds_overflow
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.fnsim.cdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.fnsim.hdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.fnsim.qmsg
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.hier_info
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.hif
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.lpc.html
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.lpc.rdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.lpc.txt
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.map.bpm
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.map.cdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.map.ecobp
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.map.hdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.map.kpt
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.map.logdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.map.qmsg
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.map_bb.cdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.map_bb.hdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.map_bb.logdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.pre_map.cdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.pre_map.hdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.rtlv.hdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.rtlv_sg.cdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.rtlv_sg_swap.cdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.sgdiff.cdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.sgdiff.hdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.sim.hdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.sim.qmsg
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.sim.rdb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.simfam
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.sim_ori.vwf
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.sld_design_entry.sci
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.sld_design_entry_dsc.sci
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.syn_hier_info
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.tis_db_list.ddb
D_watch_ok(최종본)/FND_DEC/db/FND_DEC.tmw_info
D_watch_ok(최종본)/FND_DEC/db/prev_cmp_FND_DEC.map.qmsg
D_watch_ok(최종본)/FND_DEC/db/prev_cmp_FND_DEC.qmsg
D_watch_ok(최종본)/FND_DEC/db/wed.wsf
D_watch_ok(최종본)/FND_DEC/FND_DEC.done
D_watch_ok(최종본)/FND_DEC/FND_DEC.flow.rpt
D_watch_ok(최종본)/FND_DEC/FND_DEC.map.rpt
D_watch_ok(최종본)/FND_DEC/FND_DEC.map.summary
D_watch_ok(최종본)/FND_DEC/FND_DEC.qpf
D_watch_ok(최종본)/FND_DEC/FND_DEC.qsf
D_watch_ok(최종본)/FND_DEC/FND_DEC.qws
D_watch_ok(최종본)/FND_DEC/FND_DEC.sim.rpt
D_watch_ok(최종본)/FND_DEC/FND_DEC.vhd
D_watch_ok(최종본)/FND_DEC/FND_DEC.vhd.bak
D_watch_ok(최종본)/FND_DEC/FND_DEC.vwf
D_watch_ok(최종본)/FND_DEC/incremental_db/compiled_partitions/FND_DEC.root_partition.map.atm
D_watch_ok(최종본)/FND_DEC/incremental_db/compiled_partitions/FND_DEC.root_partition.map.dpi
D_watch_ok(최종본)/FND_DEC/incremental_db/compiled_partitions/FND_DEC.root_partition.map.hdbx
D_watch_ok(최종본)/FND_DEC/incremental_db/compiled_partitions/FND_DEC.root_partition.map.kpt
D_watch_ok(최종본)/FND_DEC/incremental_db/README
D_watch_ok(최종본)/mux2_1/db/mux2_1.(0).cnf.cdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.(0).cnf.hdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.cbx.xml
D_watch_ok(최종본)/mux2_1/db/mux2_1.cmp.rdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.cmp_merge.kpt
D_watch_ok(최종본)/mux2_1/db/mux2_1.db_info
D_watch_ok(최종본)/mux2_1/db/mux2_1.eco.cdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.eds_overflow
D_watch_ok(최종본)/mux2_1/db/mux2_1.fnsim.hdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.fnsim.qmsg
D_watch_ok(최종본)/mux2_1/db/mux2_1.hier_info
D_watch_ok(최종본)/mux2_1/db/mux2_1.hif
D_watch_ok(최종본)/mux2_1/db/mux2_1.lpc.html
D_watch_ok(최종본)/mux2_1/db/mux2_1.lpc.rdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.lpc.txt
D_watch_ok(최종본)/mux2_1/db/mux2_1.map.bpm
D_watch_ok(최종본)/mux2_1/db/mux2_1.map.cdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.map.ecobp
D_watch_ok(최종본)/mux2_1/db/mux2_1.map.hdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.map.kpt
D_watch_ok(최종본)/mux2_1/db/mux2_1.map.logdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.map.qmsg
D_watch_ok(최종본)/mux2_1/db/mux2_1.map_bb.cdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.map_bb.hdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.map_bb.logdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.pre_map.cdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.pre_map.hdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.rtlv.hdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.rtlv_sg.cdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.rtlv_sg_swap.cdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.sgdiff.cdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.sgdiff.hdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.sim.hdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.sim.qmsg
D_watch_ok(최종본)/mux2_1/db/mux2_1.sim.rdb
D_watch_ok(최종본)/mux2_1/db/mux2_1.simfam
D_watch_ok(최종본)/mux2_1/db/mux2_1.sim_ori.vwf
D_watch_ok(최종본)/mux2_1/db/mux2_1.sld_design_entry.sci
D_watch_ok(최종본)/mux2_1/db/mux2_1.sld_design_entry_dsc.sci
D_watch_ok(최종본)/mux2_1/db/mux2_1.syn_hier_info
D_watch_ok(최종본)/mux2_1/db/mux2_1.tis_db_list.ddb
D_watch_ok(최종본)/mux2_1/db/mux2_1.tmw_info
D_watch_ok(최종본)/mux2_1/db/prev_cmp_mux2_1.map.qmsg
D_watch_ok(최종본)/mux2_1/db/prev_cmp_mux2_1.qmsg
D_watch_ok(최종본)/mux2_1/db/wed.wsf
D_watch_ok(최종본)/mux2_1/incremental_db/compiled_partitions/mux2_1.root_partition.map.atm
D_watch_ok(최종본)/mux2_1/incremental_db/compiled_partitions/mux2_1.root_partition.map.dpi
D_watch_ok(최종본)/mux2_1/incremental_db/compiled_partitions/mux2_1.root_partition.map.hdbx
D_watch_ok(최종본)/mux2_1/incremental_db/compiled_partitions/mux2_1.root_partition.map.kpt
D_watch_ok(최종본)/mux2_1/incremental_db/README
D_watch_ok(최종본)/mux2_1/mux2_1.done
D_watch_ok(최종본)/mux2_1/mux2_1.flow.rpt
D_watch_ok(최종본)/mux2_1/mux2_1.map.rpt
D_watch_ok(최종본)/mux2_1/mux2_1.map.summary
D_watch_ok(최종본)/mux2_1/mux2_1.qpf
D_watch_ok(최종본)/mux2_1/mux2_1.qsf
D_watch_ok(최종본)/mux2_1/mux2_1.qws
D_watch_ok(최종본)/mux2_1/mux2_1.sim.rpt
D_watch_ok(최종본)/mux2_1/mux2_1.vhd
D_watch_ok(최종본)/mux2_1/mux2_1.vhd.bak
D_watch_ok(최종본)/mux2_1/mux2_1.vwf
D_watch_ok(최종본)/mux2_1.vhd.bak
D_watch_ok(최종본)/MUX4_2/MUX4_2.vhd
D_watch_ok(최종본)/MUX4_2/MUX4_2.vhd.bak
D_watch_ok(최종본)/sec_gen/db/prev_cmp_sec_gen.map.qmsg
D_watch_ok(최종본)/sec_gen/db/prev_cmp_sec_gen.qmsg
D_watch_ok(최종본)/sec_gen/db/prev_cmp_sec_gen.sim.qmsg
D_watch_ok(최종본)/sec_gen/db/sec_gen.(0).cnf.cdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.(0).cnf.hdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.cbx.xml
D_watch_ok(최종본)/sec_gen/db/sec_gen.cmp.rdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.cmp_merge.kpt
D_watch_ok(최종본)/sec_gen/db/sec_gen.db_info
D_watch_ok(최종본)/sec_gen/db/sec_gen.eco.cdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.eds_overflow
D_watch_ok(최종본)/sec_gen/db/sec_gen.fnsim.cdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.fnsim.hdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.fnsim.qmsg
D_watch_ok(최종본)/sec_gen/db/sec_gen.hier_info
D_watch_ok(최종본)/sec_gen/db/sec_gen.hif
D_watch_ok(최종본)/sec_gen/db/sec_gen.lpc.html
D_watch_ok(최종본)/sec_gen/db/sec_gen.lpc.rdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.lpc.txt
D_watch_ok(최종본)/sec_gen/db/sec_gen.map.bpm
D_watch_ok(최종본)/sec_gen/db/sec_gen.map.cdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.map.ecobp
D_watch_ok(최종본)/sec_gen/db/sec_gen.map.hdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.map.kpt
D_watch_ok(최종본)/sec_gen/db/sec_gen.map.logdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.map.qmsg
D_watch_ok(최종본)/sec_gen/db/sec_gen.map_bb.cdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.map_bb.hdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.map_bb.logdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.pre_map.cdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.pre_map.hdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.rtlv.hdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.rtlv_sg.cdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.rtlv_sg_swap.cdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.sgdiff.cdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.sgdiff.hdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.sim.hdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.sim.qmsg
D_watch_ok(최종본)/sec_gen/db/sec_gen.sim.rdb
D_watch_ok(최종본)/sec_gen/db/sec_gen.simfam
D_watch_ok(최종본)/sec_gen/db/sec_gen.sim_ori.vwf
D_watch_ok(최종본)/sec_gen/db/sec_gen.sld_design_entry.sci
D_watch_ok(최종본)/sec_gen/db/sec_gen.sld_design_entry_dsc.sci
D_watch_ok(최종본)/sec_gen/db/sec_gen.syn_hier_info
D_watch_ok(최종본)/sec_gen/db/sec_gen.tis_db_list.ddb
D_watch_ok(최종본)/sec_gen/db/sec_gen.tmw_info
D_watch_ok(최종본)/sec_gen/db/wed.wsf
D_watch_ok(최종본)/sec_gen/incremental_db/compiled_partitions/sec_gen.root_partition.map.atm
D_watch_ok(최종본)/sec_gen/incremental_db/compiled_partitions/sec_gen.root_partition.map.dpi
D_watch_ok(최종본)/sec_gen/incremental_db/compiled_partitions/sec_gen.root_partition.map.hdbx
D_watch_ok(최종본)/sec_gen/incremental_db/compiled_partitions/sec_gen.root_partition.map.kpt
D_watch_ok(최종본)/sec_gen/incremental_db/README
D_watch_ok(최종본)/sec_gen/sec_gen.done
D_watch_ok(최종본)/sec_gen/sec_gen.flow.rpt
D_watch_ok(최종본)/sec_gen/sec_gen.map.rpt
D_watch_ok(최종본)/sec_gen/sec_gen.map.summary
D_watch_ok(최종본)/sec_gen/sec_gen.qpf
D_watch_ok(최종본)/sec_gen/sec_gen.qsf
D_watch_ok(최종본)/sec_gen/sec_gen.qws
D_watch_ok(최종본)/sec_gen/sec_gen.sim.rpt
D_watch_ok(최종본)/sec_gen/sec_gen.vhd
D_watch_ok(최종본)/sec_gen/sec_gen.vhd.bak
D_watch_ok(최종본)/sec_gen/sec_gen.vwf
D_watch_ok(최종본)/sec_gens/db/s.db_info
D_watch_ok(최종본)/sec_gens/db/s.eco.cdb
D_watch_ok(최종본)/sec_gens/db/s.sld_design_entry.sci
D_watch_ok(최종본)/sec_gens/s.qpf
D_watch_ok(최종본)/sec_gens/s.qsf
D_watch_ok(최종본)/sec_gens/s.qws
D_watch_ok(최종본)/stop_watch/cnt_100.bsf
D_watch_ok(최종본)/stop_watch/cnt_100.vhd
D_watch_ok(최종본)/stop_watch/cnt_100.vhd.bak
D_watch_ok(최종본)/stop_watch/cnt_12.bsf
D_watch_ok(최종본)/stop_watch/cnt_12.vhd
D_watch_ok(최종본)/stop_watch/cnt_12.vhd.bak
D_watch_ok(최종본)/stop_watch/cnt_60.bsf
D_watch_ok(최종본)/stop_watch/cnt_60.vhd
D_watch_ok(최종본)/stop_watch/cnt_60.vhd.bak
D_watch_ok(최종본)/stop_watch/db/prev_cmp_stop_watch.map.qmsg
D_watch_ok(최종본)/stop_watch/db/prev_cmp_stop_watch.qmsg
D_watch_ok(최종본)/stop_watch/db/prev_cmp_stop_watch.sim.qmsg
D_watch_ok(최종본)/stop_watch/db/stop_watch.(0).cnf.cdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.(0).cnf.hdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.(1).cnf.cdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.(1).cnf.hdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.(2).cnf.cdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.(2).cnf.hdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.(3).cnf.cdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.(3).cnf.hdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.(4).cnf.cdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.(4).cnf.hdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.cbx.xml
D_watch_ok(최종본)/stop_watch/db/stop_watch.cmp.rdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.cmp_merge.kpt
D_watch_ok(최종본)/stop_watch/db/stop_watch.db_info
D_watch_ok(최종본)/stop_watch/db/stop_watch.eco.cdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.eds_overflow
D_watch_ok(최종본)/stop_watch/db/stop_watch.fnsim.cdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.fnsim.hdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.fnsim.qmsg
D_watch_ok(최종본)/stop_watch/db/stop_watch.hier_info
D_watch_ok(최종본)/stop_watch/db/stop_watch.hif
D_watch_ok(최종본)/stop_watch/db/stop_watch.lpc.html
D_watch_ok(최종본)/stop_watch/db/stop_watch.lpc.rdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.lpc.txt
D_watch_ok(최종본)/stop_watch/db/stop_watch.map.bpm
D_watch_ok(최종본)/stop_watch/db/stop_watch.map.cdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.map.ecobp
D_watch_ok(최종본)/stop_watch/db/stop_watch.map.hdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.map.kpt
D_watch_ok(최종본)/stop_watch/db/stop_watch.map.logdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.map.qmsg
D_watch_ok(최종본)/stop_watch/db/stop_watch.map_bb.cdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.map_bb.hdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.map_bb.logdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.pre_map.cdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.pre_map.hdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.rtlv.hdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.rtlv_sg.cdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.rtlv_sg_swap.cdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.sgdiff.cdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.sgdiff.hdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.sim.cvwf
D_watch_ok(최종본)/stop_watch/db/stop_watch.sim.hdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.sim.qmsg
D_watch_ok(최종본)/stop_watch/db/stop_watch.sim.rdb
D_watch_ok(최종본)/stop_watch/db/stop_watch.simfam
D_watch_ok(최종본)/stop_watch/db/stop_watch.sld_design_entry.sci
D_watch_ok(최종본)/stop_watch/db/stop_watch.sld_design_entry_dsc.sci
D_watch_ok(최종본)/stop_watch/db/stop_watch.syn_hier_info
D_watch_ok(최종본)/stop_watch/db/stop_watch.tis_db_list.ddb
D_watch_ok(최종본)/stop_watch/db/wed.wsf
D_watch_ok(최종본)/stop_watch/FND_DEC.bsf
D_watch_ok(최종본)/stop_watch/FND_DEC.vhd
D_watch_ok(최종본)/stop_watch/incremental_db/compiled_partitions/stop_watch.root_partition.map.atm
D_watch_ok(최종본)/stop_watch/incremental_db/compiled_partitions/stop_watch.root_partition.map.dpi
D_watch_ok(최종본)/stop_watch/incremental_db/compiled_partitions/stop_watch.root_partition.map.hdbx
D_watch_ok(최종본)/stop_watch/incremental_db/compiled_partitions/stop_watch.root_partition.map.kpt
D_watch_ok(최종본)/stop_watch/incremental_db/README
D_watch_ok(최종본)/stop_watch/mux2_1.bsf
D_watch_ok(최종본)/stop_watch/mux2_1.vhd
D_watch_ok(최종본)/stop_watch/sec_gen.bsf
D_watch_ok(최종본)/stop_watch/sec_gen.vhd
D_watch_ok(최종본)/stop_watch/sec_gen.vhd.bak
D_watch_ok(최종본)/stop_watch/stop_watch.bdf
D_watch_ok(최종본)/stop_watch/stop_watch.done
D_watch_ok(최종본)/stop_watch/stop_watch.flow.rpt
D_watch_ok(최종본)/stop_watch/stop_watch.map.rpt
D_watch_ok(최종본)/stop_watch/stop_watch.map.summary
D_watch_ok(최종본)/stop_watch/stop_watch.qpf
D_watch_ok(최종본)/stop_watch/stop_watch.qsf
D_watch_ok(최종본)/stop_watch/stop_watch.qws
D_watch_ok(최종본)/stop_watch/stop_watch.sim.rpt
D_watch_ok(최종본)/stop_watch/stop_watch.vwf
digital watch.pptx
*준*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 워드파일 [논리회로설계실험]VHDL을 활용한 CLOCK설계 12페이지
    스탑워치, 카운터, 날짜표시등 우리의 일상에서 흔히 볼 수 있는 것들이 어떻게 ... 배경이론(Background) 1)digital clock FPGA를 이용하여 ... 설명 및 이해 이번 실습에서는 자일링스의 사용법을 바탕으로 clock을 설계해보았다
  • 한글파일 VHDL을 이용한 디지털시계설계 31페이지
    전체적인 디지털시계설계 소개 - VHDL 구문을 이용하여 디지털시계설계하는 ... VHDL을 이용한 디지털시계 4. VHDL Code 5. ... Input 에는 bcd3~bcd0이 들어가는데 이것은 각각 시, 분, 초, 스탑워치
  • 파워포인트파일 쿼터스 VHDL을 이용한 디지털 시계 설계 결과 37페이지
    디지털 시계 구현 Quartus를 이용한 VHDL의 이해 카운터와 Decoder를 ... 이용한 디지털시계 동작원리 이해 P r o j e c t 목 표 알람 및 ... 스탑워치설정 이 희 락  제어부분설정 박 대 근 시계부분 설정 LED부분
최근 본 자료더보기
탑툰 이벤트
vhdl을 이용한 디지털시계+스탑워치 설계
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업