• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(17)
  • 리포트(15)
  • 자기소개서(2)

"verilog uart" 검색결과 1-17 / 17건

  • 파일확장자 UART TX verilog 코드
    UART TX에 대한 verilog 코드, testbench 코드 및 사용 설명서입니다. ... -UART TX specification 8 bits Data , 1 stop bits 형식 UART TX형식 지원 (기타 형식이 필요하신 경우 메일로 문의) 16 byte FIFO ... Mealy state machine을 이용해 설계한 UART TX에 대한 코드입니다. Altera DE2 115 보드에 올려 동작확인한 synthesizable 코드입니다.
    리포트 | 1,000원 | 등록일 2017.05.28
  • 파일확장자 UART verilog 코드 (RX & TX 합본)
    UART TX및 RX에 대한 verilog 코드, testbench 코드 및 모듈 설명서입니다. ... -UART specification 8 bits Data , 1 stop bits 형식 UART TX형식 지원 (기타 형식이 필요하신 경우 메일로 문의) Even/Odd parity ... Mealy state machine을 이용해 설계한 UART 모듈에 대한 코드입니다. Altera DE2 115 보드에 올려 동작확인한 synthesizable 코드입니다.
    리포트 | 2,000원 | 등록일 2017.05.31 | 수정일 2024.02.02
  • 한글파일 UART-직렬 통신 _Verilog
    송신부 Code`timescale 1 ns / 1 psmodule Uart_trans (TxD, Txrdy, wr, din, clk1);input wr,clk1;reg Tsign,
    리포트 | 8페이지 | 1,500원 | 등록일 2011.05.23
  • 파일확장자 verilog hdl을 이용한 uart 설계
    \UART.lib [$LibMap$] UART=. ... \UART\UART.lib" ************* ..FILE:UART.wsw [OPENDOC|Aldec.Hde.HdePlugIn.7|. ... ..FILE:UART.aws [Version] Version=6.3 [Designs] uart=.
    리포트 | 2,500원 | 등록일 2005.01.13
  • 한글파일 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 7 보고서
    Here are the following codes written in Verilog. design_1_wrapper.v `timescale 1 ps / 1 ps module top ... _rxd(UART0_rxd), .UART0_txd(UART0_txd)); endmodule The changed padisplay as well as the LED. ... _rxd(UART0_rxd), .UART0_txd(UART0_txd)); endmodule The software part of Zynq processor is designed at
    리포트 | 16페이지 | 3,000원 | 등록일 2020.08.18
  • 워드파일 현대자동차 2021년 전자제어시스템 개발 합격 자기소개서
    이 교육에서 RCC로 클락을 제공한 후, GPIO, EXTI, AFIO 레지스터들을 제어하고 UART, TCP/IP 환경에서 데이터를 송/수신하는 실습을 진행하였습니다. ... 또한, Quartus와 Modelsim 사용법을 익히고, 하드웨어 기술 언어인 Verilog를 이용하여 디지털 시스템 및 Testcase를 만들고 이를 FPGA Board에 구현하는
    자기소개서 | 2페이지 | 3,000원 | 등록일 2022.10.17
  • 워드파일 현대오토에버 2021년 전장 SW 합격 자기소개서
    또한, UART, TCP/IP, MQTT, WIFI 환경에서 데이터를 송/수신하는 실습을 진행하며 SW로 Board를 제어하는 역량을 키울 수 있었습니다. ... 이후 대학에서 차량 디스플레이 시스템을 Verilog로 제어하거나 스마트키 FW를 개발하며, '자동차를 제어하는 SW 엔지니어'를 미래의 업으로 삼자고 확신하게 되었습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2022.10.17
  • 한글파일 11.15(UART)
    실습 제목 UART 2. 실습 목표 verilog HDL 코드를 작성하여 두 개의 테스트벤치 파일을 만들어 UART를 설정한다. ... ,sbuf); end end initial begin #10000; force oc8051_uart1.rxd=1'b0; #10000;(추가된 부분) release oc8051_uart1 ... Disscussion 이번주는 저번 시간과 마찬가지로 UART에 대해 실습을 하였다.
    리포트 | 2페이지 | 1,000원 | 등록일 2011.12.15
  • 한글파일 10.25(UART)
    실습 제목 UART 2. 실습 목표 verilog HDL 코드를 작성하여 테스트벤치 파일을 만들어 UART를 설정한다. ... 마이크로컨트롤러에는 적어도 하나의 UART가 들어간다는 사실을 알고 있는데 그만큼 중요하기 때문에 더욱 공부를 해야겠다는 생각이 들었다. ... /src/includes/oc8051_defines.v" module tb_oc8051_uart__0; reg rst; reg clk; reg wr; reg wr_bit; reg [
    리포트 | 5페이지 | 1,000원 | 등록일 2011.12.15
  • 한글파일 11.1(UART)
    실습 목표verilog HDL 코드를 작성하여 테스트벤치 파일을 만들어 UART를 설정한다.테스트벤치 파일에서 bit_in의 타이밍을 시뮬레이션에 나타내 보도록 한다.3. ... 실습 제목UART2.
    리포트 | 2페이지 | 1,000원 | 등록일 2011.12.15
  • 파일확장자 연세대 전기전자응용실험 보고서, 코드, 강의노트 자료
    Modify the PS7 DDR and make 'UART0' as an external port on the I/O peripheral.4. ... These settings are created as a module by ‘create Top HDL’, and bacome verilog source file ‘system_stub.v
    리포트 | 5페이지 | 2,000원 | 등록일 2018.08.21
  • 한글파일 Embedded System 2nd_Report LCD Control
    The reason is that we didn’t fully verify of the verilog code we designed. ... Uart_Printf(char *fmt,...); extern int Uart_SendByte(char c); //extern int __rt_lib_init(void); void ... (void); extern void logo_display(char *ver); extern unsigned char fpga_uart0_getchar(void); extern void
    리포트 | 60페이지 | 3,500원 | 등록일 2013.10.28
  • 워드파일 디지털 시스템 설계 - UART 를 이용한 FPGA의 LCD 구동
    Verilog시뮬레이션에서는 분명히 다 제대로 나왔지만 우리를 비롯해 몇몇 팀에서 글씨가 깨진다던지, 앞에 한 글자씩 밀려 쓰이는 등과 같은 에러가 나온 듯 했다. ... UART1에서 UART2로 데이터를 전송한 후 이를 UART2에서 LCD controller로 재전송 하여 FPGA의 LCD창에 띄우는 것이 금번 프로젝트의 목적이다. ... 아래 두 그림은 UART에서 UART로 전송 과정을 거치는 경우의 시뮬레이션과 UART의 transmission을 거치지 않고 바로 LCD display로 data를 넘겨주는 결과이다
    리포트 | 13페이지 | 3,000원 | 등록일 2009.09.01
  • 파일확장자 디지털 시스템 설계 - UART 설계
    아래 그림은 UART의 설계도이다..UART의 spec을 알기 전에 먼저 각 sub-module의 specification을 알아 보도록 하자. ... 이 UART는 크게 Receiver, Transmitter, 그리고 Baud Rate Generator로 나뉘어져 있다. ... 이번 프로젝트는 이 UART를 위에서 제시한 Receiver, Transmitter, 그리고 Baud Rate Generator 로 partitioning을 해서 구현하는 것이다.
    리포트 | 1페이지 | 4,000원 | 등록일 2009.09.01
  • 한글파일 FIFO(First in First out)
    디지털 시스템 설계 -FIFO- 1.FIFO `timescale 1ns / 1ps module FIFO (dout, full, empty, din, push, pop,clk, rst); input [7:0] din; input push, pop,clk, rst; ou..
    리포트 | 8페이지 | 1,500원 | 등록일 2010.12.09
  • 워드파일 Verilog HDL 소스코드
    실습과제 수행일 : 2009-03-20일 실습내용 : 실습과제 1 [문제 1] 다음 회로를 Primitive Gate들을 사용하여 Verilog HDL로 작성(설계)하고 ModelSim ... 0; #100 x0=1;x1=1;x2=1; #100 $stop; end endmodule - Simulation Waveform [문제 2]다음 회로를 assign 문을 사용하여 Verilog
    리포트 | 4페이지 | 3,000원 | 등록일 2009.04.29
  • 한글파일 [통신]Uart를 이용한 통신
    모든 컴퓨터에는 직렬 포트를 관리하기 위해 UART가 있고, 모든 내부 모뎀도 각자의 UART를 가지고 있다. ... IR은 가시광선을 전송하는 것이므로 안개와 같은 대기조건에 민감하다. (3) Uart 관련 레지스터 1) LCON(UART line control register) : UART 통신시 ... Uart를 이용한 통신 ( 예 비 보 고 서 ) { 1.
    리포트 | 3페이지 | 1,000원 | 등록일 2005.12.08
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업