• LF몰 이벤트
  • 파일시티 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(108)
  • 리포트(94)
  • 자기소개서(13)
  • ppt테마(1)

"verilog 계산기" 검색결과 1-20 / 108건

  • 파일확장자 [디지털회로 실험] verilog 4비트 계산기 설계
    최종계산기는 top_block파일입니다. tb가 붙어있는 파일은 테스트 벤치 파일로 모델심을 통해 시뮬레이션 하기위한 파일입니다. ... mux, 가산기, decoder, rom, ALU 등 따로 설계하여 합치는 방식으로 설계해서 각각의 회로에 대해서도 자세히 확인할수 있습니다.
    리포트 | 3,000원 | 등록일 2020.09.07 | 수정일 2023.03.12
  • 파일확장자 verilog-계산기(calculator)A+자료 코드및 레포트
    1.시뮬레이션 분석 및 설명 (출력을 16bit로 사용하여 스크린샷을 했을 시 일반 화면 크기를 넘어가는 점이 생겼으며, 10진수의 계산 값이 정확한지 보여드리고자 10진수 시뮬레이션결과도 ... 첨부하였습니다.)이번 시뮬레이션 목표는 adder로 74+98을 계산하는 것이 목표입니다. 8bit의 입력 yun, jae를 쉽게 확인하기 위해 output을 16bit 으로 사용하였습니다.우선
    리포트 | 9,000원 | 등록일 2019.08.24 | 수정일 2023.11.02
  • 파일확장자 디지털논리회로실험(Verilog HDL) - 데이터 오류 정정 및 검출, 블랙잭, 계산기
    .데이터 오류 검출 및 정정◦ Parity Bit데이터에 패리티 비트를 붙여서 1의 전체 개수가 짝수 혹은 홀수가 되도록 한다.-> 짝수 패리티 사용◦ 1bit 오류 검출 및 정정 시연Key0를 누르면 시작Key1을 누르면 sw중 랜덤으로 1비트 에러가 발생Key2을 ..
    리포트 | 39페이지 | 3,000원 | 등록일 2019.08.29
  • 워드파일 전전설 실험2 Final Project 다기능 계산기(Verilog Calculator)
    본인이 작성한 최종 프로젝트, 계산기를 구성하는 데 가장 중요하다고 생각하는 것들을 최종적으로 정리해보았다. 핵심코드가 어디에 사용되었는 지 정리하였다. ... this Lab…….……………………………..‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥……….‥‥‥‥‥ 6 < 초록 (Abstract) > 지금까지 배운 내용을 바탕으로 사칙연산이 가능한 계산기를 ... 요구조건3 만족 코드 핵심코드 3 이번 계산기를 만드는 데 가장 핵심이 되었던 코드이다. reg로 선언된 2진수 데이터 Input_Data_1, Input_Data_2, Output_Data를
    리포트 | 35페이지 | 20,000원 | 등록일 2018.11.10 | 수정일 2024.03.12
  • 파일확장자 [Flowrian] 최대공약수 계산기Verilog 설계 및 시뮬레이션 검증
    레지스터, 멀티플렉서와 비교기와 뺄셈기 등의 모듈들로 구성된다.각 모듈들에 대하여 동작 원리를 설명하였고, 레지스터 전송 수준에서 설계된 Verilog 소스를 공개하였으며, 테스트벤치로 ... 본 설계는 최대공약수 (GCD, Greatest Common Divisor)을 계산하는 모듈을 레지스터 전송수준과 구조수준의 두가지 방식으로 에서 Verilog 언어을 사용하여 설계하고 ... 시뮬레이션으로 검증한다.논리회로도 구조는 데이터패스만으로 구성되어 매 클럭 마다 반복계산을 하여 최대공약수를 구하는 방식으로 설계되었다.
    리포트 | 28페이지 | 2,500원 | 등록일 2011.09.05
  • 한글파일 디지털시스템실험, Verilog를 이용해 BCD to 7 segment를 통한 계산기 설계 및 구현, FPGA보드 결과 포함
    가산기와 연결하여 계산결과를 표현하는 7-segment 계산기 구현 실험결과 7-segment 블록 다이어그램을 보면 먼저 4bit의 input을 입력받아서(0~15까지 표현가능) ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 설계 및 실험 결과보고서 실험제목 BCD to 7 Segment, 7 Segment를 통한 계산기 설계 ... Line decoder의 Verilog 코드이다. 2.
    리포트 | 5페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.23
  • 파일확장자 [Flowrian] FSM with Datapath 방식 최대공약수 계산기Verilog 설계 및 시뮬레이션 검증
    Ripple-Carry 뺄셈기 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 8 비트 비교기 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 8 비트 레지스터 : ... 본 문서에서는 아래의 모듈들은 Verilog 언어로 설계하여 시뮬레이션 검증을 하였다.- 2 입력 8 비트 멀티플렉서 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 8 비트 ... RT 수준 Verilog 설계 및 시뮬레이션 검증- 전체 동작을 제어하는 유한상태머신 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 최대공약수 연산을 수행하는 데이터패스 :
    리포트 | 38페이지 | 3,000원 | 등록일 2011.10.11
  • 한글파일 verilog code - (combo kit) 10진수 2자리수 계산기(덧셈,뺄셈,나눗셈,곱셈), 7-segment, vfd로 출력
    kit_calculator_SegVfd_2digit ▶ Module kit_calculator_SegVfd_2digit 의 동작 설명 ⇒ 0부터 99까지의 2자리 수를 입력 하고 덧셈이나 뺄셈, 곱셈, 나눗셈 중 하나의 연산을 선택한 후 다시 0부터 99까지의 두자 ⇒..
    리포트 | 32페이지 | 3,000원 | 등록일 2014.04.25
  • 파워포인트파일 [논리회로, 전자계산기 구조]verilog HDL & xilinx 툴 사용법
    반가산기의 진리치표 시뮬레이션 예제(1) - 2 전가산기(Half Adder) 0 1 0 1 0 1 0 1 ow} ... Verilog 2004.11.08 암호 및 보안 연구실 이 현 준 Verilog HDL 이란? ... 툴 사용법(7) 시뮬레이션 예제(1) - 1반가산기(Half Adder) 1 0 1 1 0 1 0 1 0 1 1 0 0 0 0 0 C(carry) S (sum) B A 출력 입력 그림
    리포트 | 41페이지 | 1,500원 | 등록일 2004.12.07
  • 파일확장자 [전자계산기 설계] 4bit carry look ahead adder(verilog)
    // Verilog code Homework#1_31961774_KimSangJin module carry_lookahead_4bit_adder(a,b,c0,s,c4); input
    리포트 | 1페이지 | 1,000원 | 등록일 2001.11.17
  • 워드파일 2022년 상반기 LG디스플레이 반도체/디스플레이 합격자소서
    어셈블리어를 일부 구현해 ModelSim으로 기본적인 작동 확인 2 FPGA와 Arduino를 이용한 "학점계산기" - 3개의 마이크로 컨트롤러 간의 IO 설계. ... SoC설계 A+ 3 기타 심화 과목 - 멀티미디어융합기술 A+, 마이크로프로세서응용 A+, 융합캡스톤디자인 A0 "프로젝트 내용 및 역할" 1 mu0 프로세서, 메모리 설계 - Verilog ... 이용해 두더지가 랜덤으로 나올 수 있는 디지털 회로 구현. 4 Verilog를 이용한 8bit RCA - Pipeline RCA와 Non-Pipeline RCA를 각각 구현하고 Area
    자기소개서 | 3페이지 | 3,000원 | 등록일 2022.11.08
  • 워드파일 전자전기컴퓨터설계실험2(전전설2) 계산기 프로젝트 팩토리얼 및 quiz mode 포함
    이는 일반 계산기의 경우 연산을 할 경우 피연산자수1 ◎ 피연산자수2 =에서 = 을 누르고 나면 그 이전의 계산을 수정할 수 없고, input에 대한 값을 누르다가 도중에 다른 값을 ... 위의 사진을 보면 button setting에 숫자가 직접적으로 입력되는 일반 계산기와 달리 커서를 이용해서 number의 up/down을 수행한 것을 확인할 수 있다.
    리포트 | 35페이지 | 20,000원 | 등록일 2020.12.22
  • 워드파일 서울시립대학교 전전설2 4주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Purpose of this Lab 이번 실험에서 Verilog HDL언어를 사용하여 Combinational Logic을 설계 및 실험한다. 연산 로직, 비교기 등을 설계한다. ... 만일 계산의 결과가 처음 A의 저장공간보다 더 커진 경우는 가장 높은 자리의 숫자를 버리면 된다. 이제 이를 활용하여 -8~ 7의 정수를 4비트로 표현하여보자. ... /verilog/verilog-initial-block" https://www.chipverify.com/verilog/verilog-initial-block (verilog initial
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 파일확장자 verilog가감산기(adder-subtracter) 코드및 결과레포트(modelsim)
    Timescale 은 1ns/10ps 통일 2000ps만큼 바뀜 Verilog Tool 은 Model Sim 사용 Selection Signal ... Spec : 4Bit Full adder, 4Bit Full subtractor Verilog Coding Simulation 결과는 1,0으로 표시
    리포트 | 4,500원 | 등록일 2019.08.24 | 수정일 2019.09.23
  • 한글파일 Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    과거, 패턴 발생기, 오실로스코프, 멀티미터 등을 이용한다. ... 설계 가능 논리 소자는 AND, OR, XOR, NOT, 더 복잡한 디코더나 계산기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복제하여 프로그래밍을 할 수 있다. ... 실험 제목 [Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증] 2.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • 워드파일 시립대 전전설2 Velilog 결과리포트 4주차
    이번 실험을 통해 ISE Design Suite의 사용법과 Verilog 문법을 더 익힐 수 있었고 가산기와 감산기를 비교해 보는 계기가 되었다. ... 여기서 알아야 할점은 이진법 뺄셈 계산이다. A – B = A + (-B)라고 표기가 가능하다. 이때, -B는 B의 2의 보수이다. ... 결론 이번 실험은 Verilog HDL 언어를 이용하여 감산기, 비교기 \를 설계하고 이를 FPGA에 다운로드 하여 스파르탄 보드(HBE_COMBO II) 기기에서 정상 작동하는지
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 한글파일 BCD 가산기 설계 결과보고서
    감산기의 예에서 입출력 비트 수가 많아질수록 Schematic으로 설계 할 때와 Verilog 또는 VHDL로 설계할 때의 장단점을 설명하라. ... 또한 BCD의 덧셈이 9를 넘을 경우 6(0110)을 더해서 계산하는 방식도 알아보았다. ... 감산기로 동작할 경우 빼는 수의 2의 보수를 취해서 더해야 한다. Verilog, VHDL ; 가산회로는 부호를 고려하지 않아도 되지만, 감산회로는 부호를 고려해야 한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    따라서 도레미파솔라시도 각각의 주기를 계산해서 코딩을 진행하였다. ... Segment (FND array) - Stati데이터를 전달하고, 4개의 7-Segment 중 어느 곳에 나타나게 할 것인지를 결정하는 방법으로 Dynamic 7-Segment의 제어기가 ... 실험의 목적 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 실험하며 그의 controller를 설계한다.
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • 워드파일 시립대 전전설2 [4주차 예비] 레포트
    반가산기는 올림수를 고려하지 않았었지만 전가산기는 올림수까지 입력받아 계산하는것이다. ... 전가산기 전가산기는 반가산기 2개와 논리합 1개로 이루어진것으로 덧셈을 수행할때 하위자리에서 발생한 올림수까지 포함하여 계산하는 것이다. ... 이진화 십진법, 3초과 부호와 같은 여러가지 수학적 연산을 수행하는 가산기를 구성할 수 있지만, 대부분의 가산기는 2진수의 합을 계산한다. 2의 보수나 1의 보수를 이용하여 음수를
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 파워포인트파일 현대자동차 PT면접(1차면접) 최종합격본
    2019 ㅇㅇ대학교 지능형 모형차 경진대회 멘토링 2018 ㅇㅇ대학교 지능형 모형차 경진대회 참여 주행기록 횡단보도 ( 속도제한구역 ) 장애물 회피 자율비상제동 평가요소 대회장의 밝기 ... TSL1401CL 라인스캔 카메라 적외선 센서 HW SW Hitec Tricore Infineon BIFACES Time Scheduler 카메라 필터 적용 차선과 차체 상대위치 계산 ... 마이크로프로세서응용실습 확률변수론 자동제어 신호및시스템 통신공학 HW SW 시스템 이해 직무 이름 융합 직무 관련 스터디 프로그래밍 스터디그룹 동아리 멘토링 JAVA C/C++/Verilog
    ppt테마 | 13페이지 | 19,000원 | 등록일 2023.06.02 | 수정일 2023.08.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업