• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,137)
  • 리포트(1,017)
  • 자기소개서(49)
  • 논문(42)
  • 시험자료(23)
  • 이력서(3)
  • 서식(2)
  • 방송통신대(1)

"stepping motor" 검색결과 1-20 / 1,137건

  • 파일확장자 스텝모터 역기전력에 의한 폐루프 시스템 구현에 관한 연구
    So that stepping motor environment is very simplify. ... The current of stepping motor was measured by using amplifier. ... In generally stepping motor should be rotary encoder to rotor position, the rotary encoder is adopted
    논문 | 9페이지 | 4,000원 | 등록일 2023.04.05
  • 한글파일 스텝모터,엔코더 실험결과
    또한 1 step의 각도는 10°이다. 이것으로 미루어 보았을 때, 실험에 사용된 스텝모터는 N극과 S극이 18개씩 회전자에 붙어 있는 스텝모터인 것을 알 수 있다. ... 이용하여 스텝모터를 구동한다. 3.실험 이론 -스텝 모터 1 2 3 4 스테이터에 통합된 자극 휠(pole wheel)은 항상 로터의 1개의 N극(또는 S극)이 스테이터의 S극(또는 ... 프로그램 작성; smotor.txt 참조{ #include등, C언어의 기초문법이용} 하여 소스 창에 프로그램 작성 한다. delay루틴을 이용하여 스텝간의 간격을 길게 한다(약 1초
    리포트 | 9페이지 | 1,000원 | 등록일 2019.05.16
  • 워드파일 [예비보고서] 3.스텝 모터 구동기
    스텝 모터 구동기 3-3. 설계실습 계획서 3-3-1 스텝 모터의 회전각은 가해진 펄스 수를 조정함으로써 제어할 수 있다. ... 만약 1회전 100 펄스의 스텝 모터가 있다면, 구동회로에서 1개의 펄스를 보낼 때 스텝 모터는 몇 도 회전하는지 계산하시오. ... (답안) 1회전 100펄스 스텝 모터가 있다면, 1회전인 를 회전할 때 100개의 펄스를 보내야 한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.01.03
  • 한글파일 실습 3. 스텝 모터 구동기 예비보고서
    실습목적 단극 스텝 모터 (Uni-polar step motor)의 동작 원리를 이해하고 스텝 모터를 조종하기 위한 범용 이동 레지스터 (Universal shift register ... 스텝 모터 구동기 3-1. ... 만약 1회전 100펄스의 스텝 모터가 있다면, 구동회로에서 1개의 펄스를 보낼 때 스텝 모터는 몇 도 회 전하는지 계산하시오. 1상 여자 방식 4개의 권선이 있다면 한쌍의 권선에 전류를
    리포트 | 3페이지 | 2,000원 | 등록일 2022.09.19
  • 파일확장자 [결과보고서] 3.스텝 모터 구동기 7page
    한편, S0과 S1은 스텝 모터의 단계가 바뀌는 방향을 결정짓는다. ... 스텝 모터는 다음 세 개의 핀 (CLK, S0, S1)으로 조정된다. CLK는 Clock의 역할을 하며, 0V 에서 5V로 바뀌게 될 때, 스텝 모터의 단계가 바뀌게 된다. ... 전원을 끈 상태에서 그림 3-1과 같이 스텝 모터 구동회로를 구성하시오. 구동기가 정상적으로 동작함을 확인하기 위하여, 출력단에 LED 회로를 추가한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2023.01.03
  • 한글파일 스텝모터 드라이버 실험 보고서 (A+ 레포트)
    사인파-1 S1600,4000; 스텝모터 사인파-2 S1800,5000; 스텝모터 사인파-3 S1400,3000; 위치제어는 먼저 원점을 잡은 후 PA5050000; PA4980000 ... Stepping Motor 동작원리 A상에 전류가 공급되면 Stator는 S극으로 자화되어 N극의 Rotor1과 흡인력을 가지게 된다. ... 이것은 모터의 전력 효율이 일반적으로 낮은 것, 모터를 돌리기 위한 구동회로의 코스트가 높은 것이 크게 관계되기 때문이다. 1-2) Stepping motor의 종류와 그 개요 스텝
    리포트 | 11페이지 | 4,500원 | 등록일 2019.09.02 | 수정일 2022.01.04
  • 파일확장자 A+ 결과보고서-스텝모터 구동기
    리포트 | 2페이지 | 1,000원 | 등록일 2022.03.27
  • 파워포인트파일 vhdl stepmotor스텝모터 제자리회전 결과레포트
    전자공학 실험 3 TEAM PROJECT 7 CONTENTS 01 프로젝트 내용 02 예상 완성 동작 03 제한 조건 및 실험 환경 01 프로젝트 내용 리모컨 신호 (3 비트 6 가지 ) 에 따른 로봇 움직임 생성 ( 전진 , 후진 , 좌회전 , 우회전 , 우측으로 제..
    리포트 | 17페이지 | 2,000원 | 등록일 2019.08.24
  • 워드파일 진동및메카트로닉스 스텝모터및엔코더 실험 보고서
    실험2) 스텝모터(STEP-MOTOR) DC 모터와 달리 스텝모터는 전자석의 기능을 이용하여 모터의 회전축(로터)을 돌리는 구조이다. 위 회로도에 컨트롤러가 전압을 준다. ... 회전되는 step의 수를 세어서, 설정해준 step수를 비교한다. 4) step수를 십진수 6 (이진수;0110)으로 설정하고, 각 step에서 켜져 있는 LED를 다음 표에 표시 ... b) 시작스위치 SW3 (push button)이 눌려지면, 스텝 모터가 1회전 하도록 프로그램을 개발하여 동작시키시오. 1 step 각도가 얼마인가 ?
    리포트 | 14페이지 | 3,000원 | 등록일 2020.06.27 | 수정일 2021.03.15
  • 파일확장자 A+ 아날로그및디지털회로설계 실습 예보 스텝 모터 구동기
    리포트 | 2페이지 | 1,000원 | 등록일 2022.03.27
  • 한글파일 아날로그 및 디지털회로설계 실습 4주차-스텝모터구동기
    서론 단극 스텝 모터 (Uni-polar step motor)의 동작 원리를 이해하고 스텝 모터를 조종하기 위한 범용 이동 레지스터 (Universal shift register)의 ... 만약 1회전 100펄스의 스텝 모터가 있다면, 구동회로에서 1개의 펄스를 보낼 때 스텝 모터는 몇 도 회전하는지 계산하시오. 1회전이 360도 이므로 1회전에 100펄스의 스텝 모터가 ... 스텝 모터 구동기 분 반 교 수 명 실험 날짜 제출 날짜 조 학 번 이 름 요약 : BJT와 레지스터를 이해하고 응용하여 스텝 모터 구동기를 설계할 수 있다. 1.
    리포트 | 8페이지 | 2,500원 | 등록일 2021.12.14
  • 파일확장자 아날로그 및 디지털회로설계실습 3주차 스텝 모터 구동기 과제
    리포트 | 1페이지 | 1,000원 | 등록일 2021.09.02
  • 워드파일 아날로그 및 디지털 회로 설계 실습 결과보고서3 스텝모터구동기
    스텝 모터는 다음 세 개의 핀 (CLK, S0, S1)으로 조정된다. CLK는 Clock의 역할을 하며, 0 V에서 5 V로 바뀌게 될 때, 스텝 모터의 단계가 바뀌게 된다. ... 한편, S0과 S1은 스텝 모터의 단계가 바뀌는 방향을 결정짓는다. 구동기를 초기화하기 위하여, S0, S1 스위치를 모두 닫은 후, CLR에 전압을 가해준다. ... 다음 사진과 같이, 스텝모터는 시계방향으로 회전하였으며, S0가 high, S1이 LOW인 케이스이므로, LED는 shift right 상태를 유지하였다.
    리포트 | 15페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • 워드파일 광전자공학 2주차 보고서, 버튼과 스텝모터를 사용한 아두이노 스케치
    버튼과 스텝모터를 사용한 아두이노 스케치 유튜브와 아두이노 배우기 블로그를 참고하여, 스위치를 눌렀을 때 회전 후에 다시 제자리로 돌아오는 모터를 스케치하였다. int STEP = ... 3; int DIR = 2; int Switch=4; void setup() { pinMode(Switch,INPUT) pinMode(DIR, OUTPUT); pinMode(STEP ... sensorinterval = 1000; long ledinterval = 10000; long previoussensorMillis = 0; long previousledMillis = 0; void setup
    리포트 | 3페이지 | 1,000원 | 등록일 2021.03.08
  • 파일확장자 [예비보고서]중앙대학교 아날로그및디지털회로설계실습 스텝 모터 구동기
    실습 목적단극 스텝 모터 (Uni-polar step motor)의 동작 원리를 이해하고 스텝 모터를 조종하기 위한 범용 이동 레지스터 (Universal shift register ... 이를 바탕으로 BJT 트 랜지스터와 범용 이동 레지스터를 이용하여 스텝 모터 구동기를 설계한 후 그 동작을 확인한 다.3-2. ... 실습 준비물부품4비트 범용 이동 레지스터 74HC194 : 1개4상 스텝 모터 : 1개ULN2003AN IC : 1개저항 150Ω, 1/2W, 5% : 4개저항 1KΩ, 1/2W,
    리포트 | 4페이지 | 1,000원 | 등록일 2023.06.23
  • 한글파일 실험5 스텝모터 및 RC서보모터 결과보고서 (아주대 자동제어실험)
    실험 5 결과보고서 학 부: 전자공학부 과목명: 자동제어실험 실험5 스텝 모터 및 RC 서보 모터 결과보고서 1. ... 실험고찰 이번 실험에서는 스텝모터의 잦은 고장으로 인해, RC 서보 모터에 대한 실험을 중심으로 했다. RC서보모터는 PWM 신호만으로 제어가 가능하다. ... 실험 기자재 - PC, NI ELVIS II - RC 서보 모터 3.
    리포트 | 6페이지 | 3,000원 | 등록일 2021.07.16
  • 워드파일 아날로그 및 디지털 회로 설계 실습- 실습3(스텝 모터 구동기) 예비보고서
    만약 1회전 100 펄스의 스텝 모터가 있다면, 구동회로에서 1개의 펄스를 보낼 때 스텝 모터는 몇 도 회전하는지 계산하시오. ... 설계실습3 예비보고서(스텝 모터 구동기) 3-3 설계 실습 계획서 3-3-1 스텝 모터의 회전각은 가해진 펄스 수를 조정함으로써 제어할 수 있다. ... NO CLR S0 S1 QA QB QC QD 동작에 대해 설명하시오 1 L X X L L L L 출력이 초기화 되었음 2 H L L QA QB QC QD 출력이 변화 없음 3 H L
    리포트 | 3페이지 | 1,000원 | 등록일 2020.09.24
  • 워드파일 [A+][예비레포트] 중앙대 아날로그 및 디지털 회로 설계실습 3. 스텝 모터구동기
    스텝 모터 구동기 실습목적 단극 스텝 모터 (Uni-polar step motor)의 동작 원리를 이해하고 스텝 모터를 조종하기 위한 범용 이동 레지스터 (Universal shift ... 만약 1회전 100 펄스의 스텝 모터가 있다면, 구동회로에서 1개의 펄스를 보낼 때 스텝 모터는 몇 도 회 전하는지 계산하시오. ... 설계실습계획서 2-1 스텝 모터의 회전각은 가해진 펄스 수를 조정함으로써 제어할 수 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.04.08
  • 워드파일 아날로그 및 디지털회로설계실습- 실습3(스텝 모터 구동기) 결과보고서
    (스텝 모터 구동회로에 ULN2003AN과 스텝 모터를 연결한 모습) CLR에 전압을 주지 않으면 구동기가 초기화 되고 S0, S1의 스위치를 닫은 채로 CLR에 5V의 전압을 주면 ... 여기서 S0의 스위치를 닫은 채로 S1의 스위치를 열어주고 CLK에 5V의 clock pulse를 걸어주면 스텝 모터는 시게 방향으로 돌아가게 되고 LED는 오른쪽으로 shift된다 ... 반대로 S1의 스위치를 닫은 채로 S1의 스위치를 열어주고 CLR에 5V의 clock pulse를 걸어주면 스텝 모터가 반시계 방향으로 돌아가게 되고 LED는 왼쪽으로 shift 된다
    리포트 | 4페이지 | 1,000원 | 등록일 2020.09.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업