• LF몰 이벤트
  • 파일시티 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(81)
  • 리포트(75)
  • 시험자료(5)
  • 자기소개서(1)

"segement" 검색결과 1-20 / 81건

  • 워드파일 [예비레포트] 숫자표시기(7-segement) 응용 (아두이노)
    예비레포트 숫자표시기(7-segement) 응용 1. ... 표시기는 두 가지 형태가 있는데 아래 그림 2와 같이 모든 다이오드의 아노드가 공통으로 묶여있는 공통 캐소드형과 모든 다이오드의 캐소드가 공통으로 묶여있는 공통 아노드형이 있다. 7-segement
    리포트 | 5페이지 | 1,000원 | 등록일 2019.06.03
  • 워드파일 기초전자회로실험_vending machine
    출력:0 ↑입력 0 1 0 1을 가했을 때, 7segement 출력: 1 ↑입력 0 1 1 0을 가했을 때, 7segement 출력: 2 ↑입력 0 1 1 1을 가했을 때, 7segement ... 또 잔돈이 생기면 잔돈이 얼마나 남았는지 7-segement LED를 통해 잔돈의 결과를 알려주는데, 100원의 잔돈이 생기면1, 200원의 잔돈이 생기면 2, 300원의 잔돈이 생기면3 ... LED 및 C1,C0 의 7Segment)를 포함하는 전체 회로를 사진으로 캡쳐 하고 각 부분을 실험내용 1 에 제시된 회로도와 비교하여 설명 ↑입력 0 0 1 1을 가했을 때, 7segement
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2022.07.18
  • 한글파일 페브리즈,페브리즈환경분석,페브리즈한국시장전략
    한국시장 전략 방향. 1) segement 2) targetingp 3) positioning(4p) 5. 현재 페브리즈의 위치 6. plc 7. ... 한국시장 전략 방향. 1) segement 기존의 악취를 제거하는 방향제 속에서 페브리즈는 악취는 물론 세균제거 효과까지 첨가하여 섬유 탈취제라는 시장을 겨냥하였다. ... 환경분석 1) strength 2) weak 3) opportunity 4) threat 3.
    리포트 | 16페이지 | 6,000원 | 등록일 2022.08.16
  • 한글파일 성인간호학실습 응급실 Syncope and Collapse 대상자 응급간호 Concept map
    EKG 상 Lead II QRS complex 39mV EKG 상 V5, V6 ST-segement depression(4mm) 심음 청진상 잡음 (+) Troponin kit (- ... EKG 상 Lead II QRS complex 39mV V5, V6 ST-segement depression(4mm) 심음 청진상 잡음 (+), DRE (-), CRE (-), 옷이 ... Ischemic stroke Diagnostic studies ? ABGA ? PaCO2 31.7mmHg PaO2 72.2mmHg ?
    리포트 | 8페이지 | 2,000원 | 등록일 2021.04.25
  • 한글파일 12. Stopwatch 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    한바뀌 돌면 다음 7-segment가 카운터 된다. 12-4-3 두 번째 7-segement가 6진 카운터로 작동하기 위해 Q2,Q3를 AND gate로 묶어 5에서 0이 될 때 ... 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 연결한다. ... 다음 7-segment를 작동 시킨다.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.10.24
  • 한글파일 폐렴 case study
    하였고 혈액 검사상 WBC 13.84 ▲Neutrophil segemented% 96.7▲ ESR >120▲으로 처방된 항생제 투여하였고, 그렁거리는 호흡음과 불규칙적 호흡양상,spo2 ... - “자꾸 머리가 띵해요 ” @객관적 자료 -진단명: pneumonia -염증수치 12/14 12/17 12/18 WBC 13.84▲ 19.25▲ 20.83▲ Neutrophil segemented ... 필요시 suction을 시행한다. 3.
    리포트 | 17페이지 | 2,500원 | 등록일 2021.05.27
  • 한글파일 국내에서 판매되고 있는 의류, 자동차, 전자제품중 한제품을 선정하여, 해당제품의 시장세분화, 표적시장선정, 포지셔닝을각단계별로설명하시오.
    시장세분화(segement), 표적시장설정(Targeting), 포지셔닝(Positioning)의 줄임 말이다. ... 시장세분화 (segement) 자동차 시장은 배기량에 따라 소형차, 준 중형차, 중형차, 준 대형차 , 대형차로 구분된다. ... STP 전략이란 미국의 켈로그경영대학원 필립코틀러 교수가 제시한 전략으로 새로운 고객을 유치하고 지속적인 수익을 창출할 수 있도록 기업이 시장을 세분화하는 전략을 뜻한다. stp전략은
    리포트 | 7페이지 | 1,500원 | 등록일 2019.10.09
  • 파워포인트파일 Applied English Phonology 4판 CH1 연습문제 답
    anlaysis [ ə n æ l ə s ɪ s ] 8 segments, 4 syllables thousand[ θ aʊzənd ] 6 segments, 2 syllables language ... ɛ st ɹ̣ɪ ə n] 10 segments, 4 syllables broach[ b ɹ̣ o ʧ] 4 segments, 1 syllable writer [ɹ̣ a ɪ t ɚ ] ... 4 segments, 2 syllables thatched[ θ æ ʧ t] 4 segments, 1 syllable middle [m ɪ d ə l ] 5 segments, 2
    시험자료 | 15페이지 | 4,000원 | 등록일 2022.06.22 | 수정일 2024.01.17
  • 한글파일 실습후 폐암과 관련된 간호과정(컨퍼용)
    pul HT post sternoetomy state segemental atetlectasis at LLL 발견 ⑨ 면회제한 병실에서 마스크 착용하고 계심 ⑩ wheezing sound ... O data: ① Dx. malignant neoplasm upper lobe bronchus or lung ② 주증상: dyspnea, sputum out, severe cough ... ⑪ severe cough_ 대상자와 대화중 severe cough로 인한 대화단절 ⑫ 객담을 뱉어 내는 것 자체에 대한 어려움을 호소함 ⑬ 객담에서 약간 누런 빛이 돌며 객담의
    리포트 | 2페이지 | 2,000원 | 등록일 2020.08.18
  • 워드파일 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    이번 실험에서는 대표적인 디코더 중 하나인 BCD to 7-segement decoder에 대해 다루도록 한다. ... X=x3x2x1x0이라하고 Y=y3y2y1y0이라하고 둘의 합인 S를 s3s2s1s0이라고 하자. 명백하게 X+Y≤9가 된다면 합은 2개의 4비트 이진수의 합이 된다. ... 그림 5와 같은 회로를 구성하고 입력에 따른 7-segment의 출력 상태를 기록한다.
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 한글파일 A+ 성인간호학실습 급성 충수염 환자 케이스 간호진단 2개, 간호과정 2개 꼼꼼히 작성!!
    filled colon D catheter at right pelvis 12/01 검사명 검사결과 Abdomen Erect & Supine Gaseous colonic segements ... 400 800 S/V 800 물 500 주사제 200 700 S 600 500 1100 800 800 N HD 1L 300 300 490 S/V 400 JP 90 S 300 300 ... c cecal perforati/S 500 150 150 S 100 350 450 720 600 120 T 350 2100 2450 2420 2300 120 11/30 D 물 50
    리포트 | 22페이지 | 3,000원 | 등록일 2024.01.30
  • 워드파일 서강대학교 21년도 디지털논리회로실험 프로젝트 3단계 보고서 (A+자료)
    IDLE은 RESET 버튼이 눌리면 구현되는 동작으로, 4digit-7segement display에 가로줄 4개가 display되며 모든 동작이 중단되는 상태이다. ... 우선 아래는 state machine을 설계하기 위해 그린 state diagram이다. ... 그리고 32CLK동안 counting이 진행된다면, P까지 scroll이 될 것이고, 이때의 counter값을 and gate에 입력시켜, up-s.
    리포트 | 26페이지 | 3,000원 | 등록일 2022.09.18
  • 한글파일 A+ 성인간호학실습 급성 호흡부전 간호과정 보고서
    perfusion 5/5 - no changes of brain condition - calcified plague in V4 segement of left vertebral artery ... 식도암 수술로 인해 thorax 내로 올라와 있는 stomach의 perforation (천공)은 의심하지 않습니다. subcutaneous emphysema (폐기종- 폐포 내의 ... > External hemorrhoid로 보이며 전신상태 연관되어 swellling 심해 보입니다.
    리포트 | 63페이지 | 25,000원 | 등록일 2024.03.19
  • 워드파일 외국계자기소개서- 2018 TexasInstrument Korea Sales Intern(기술영업인턴)
    아날로그 및 디지털 회로설계실습을 통하여 논리표를 통해 7segement를 제어하고, 스텝 모터와 관련된 실험을 진행하였습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2020.06.01 | 수정일 2020.11.26
  • 한글파일 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    Dynamic 7-segment 컨트롤러 설계 Dynamic-7segment는 제어되는 7-segement의 숫자가 늘어나서 동시에 늘어나는 I/O를 아끼기 위해 사용하는 데이터라인을 ... 각 데이터에 Low 값을 전달하여 LED에 불이 들어오도록 설정한다. 1. 7-segment decoder 설계 7-segment 설계에서는 하나의 segment LED에 0에서 9까지 ... 실습 3 점을 포함한 8비트 신호의 7-segment 설계 앞에서 작성한 7-segment 코드가 점을 제외한 코드였다면 현재 작성하는 8비트 신호의 7-segment는 숫자 뒤에
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 한글파일 면역학 중간까지의 내용정리입니다.
    다양할 수는 있지만, 길이는 항상 12 bp 또는 23이다. 12bp RSS를 가진 Gene segment는 오로지 23bp RSS를 가진 Gene segement에만 결합할 수 ... . ▶ H chain에서 DH gene segment는 JH gene segment(23-bp spacer)와 VH gene segment(23-bp spacer)에 연결되기에 DH ... 이를 12/23 rule이라고 한다. ▶ 이러한 RSS의 heptamer-spacer-nonamer seqeunce motif는 항상 V,D,J gene segment사이에서 존재한다
    시험자료 | 16페이지 | 4,000원 | 등록일 2023.05.18 | 수정일 2023.06.28
  • 한글파일 전주대학교 마케팅원론 중간고사 정리본
    시장세분화는 모든 고객들에게 똑같은 방법으로 마케팅하는(mass marketing)에서 각 세분시장의 욕구에 맞는 상품을 마케팅하는 것(segement marketing)으로 변화하였고
    시험자료 | 3페이지 | 3,000원 | 등록일 2023.10.06
  • 한글파일 Microsoft를 위협하는 segment zero
    이러한 시장을 Andy Grove는 segment zero, 즉 저가시장이라고 부른다. ... 이런 segment zero는 이익면에서는 매력이 떨어지는 것처럼 느껴져 무시될 수도 있지만, 잘만 이용하면 저가 기술을 제공할 수 있는 온실이 될 수 있다.
    리포트 | 5페이지 | 2,000원 | 등록일 2019.11.19 | 수정일 2020.11.01
  • 한글파일 [A+자료, 교수님칭찬] Cesarean section, C/S(제왕절개술) case study(문헌고찰/사정/진단/계획/수행/평가), 간호과정2개, 간호진단6개, 급성통증, 감염위험성, 여성간호학실습 case
    이러한 위협이 분만지연으로 인해 고조될 때, 질분만이 산부나 태아에게 위험이 있을 때 태아를 신속히 만출 시킬 수 있다. 2) 특징 (1) 유형 제왕절개술은 자궁하부절개(low segement ... 본론 ▣ 문헌고찰 1) 질병의 정의 제왕절개분만(cesarean section delivery)은 산부의 복벽과 자궁벽을 절개하여 태아를 만출시키는 외과적 술법으로 제왕절개술이라고 ... Foul odor): + - 태변착색여부(Meconium Staining):+ - 도뇨 (Catheterization): + 투약(Medication): (3) 분만 3기(Third stage
    리포트 | 22페이지 | 2,500원 | 등록일 2021.05.15
  • 한글파일 병원미생물학 기말고사 요약정리 A+
    바이러스 (8) ① 인플루엔자바이러스 Influenza virus (독감) 특징 - 비리온 폴리메리아제O, 외피보유 RNA 바이러스 - negative 양극성, 유행원인 : RNA segements의 ... 장독소) + CNS - S. epidermidis (표피포도구균) : 여드름, 기회감염 - - S. saprophiticus (부생포도구균) : 비뇨기감염, 기회감염 - ② 마이크로코쿠스 ... 독소쇼크증후군(Toxic Shock Syndrome) : 고열, 저혈압, 두통, 근육통, 구토·설사, 점막염증, 신경이상확산, 박리성피부발진 - 사람감염 포도알균성 감염병 대부분은 S.
    시험자료 | 23페이지 | 4,000원 | 등록일 2022.11.07 | 수정일 2022.11.22
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업