• 캠퍼스북
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(175)
  • 리포트(149)
  • 자기소개서(15)
  • 시험자료(7)
  • 논문(4)

"avr 시계" 검색결과 1-20 / 175건

  • 파일확장자 <<AVR 알람시계 만들기>>AVR알람시계,디지털워치,와치,ATmega128,회로도,소스코드,동작원리,타이머,1초,시간설정,AVR디지털시계,전자시계,부저,디지탈시계,디지털시계 제작
    ['[AVR 알람시계 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. 목 표보고서에서는 AVR을 이용한 디지털 알람시계를 만드는 법에 대하여 알아보도록 한다. ... 본 디지털 알람시계는 00:00:00 ~ 23:59:59 의 시간범위로 운용되며 AVR의 타이머/카운터 기능을 베이스로 ‘1초’를 카운팅하여 시간을 구성한다. ... 때문에 AVR의 Timer/Counter 기능을 이용하여 1 Sec 즉, 1hz의 주파수를 만들어 줄 필요가 있다.
    리포트 | 29페이지 | 7,000원 | 등록일 2015.12.04 | 수정일 2017.04.20
  • 파일확장자 <<AVR을 이용한 컴퓨터 사용시간 타이머 만들기>>AVR타이머,전자시계,카운터, AVR졸업작품,ATmega128,회로도,AVR작품,아트메가128,작품 만들기,디지털 시계,시간측정
    ['[AVR을 이용한 컴퓨터 사용시간 타이머 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ.
    리포트 | 18페이지 | 4,000원 | 등록일 2016.03.02 | 수정일 2017.04.20
  • 한글파일 avr/ATmega128을 이용한 디지털시계
    설계 배경 및 목표 - 설계 배경 한 학기 동안 학습한 AVR KIT 내의 여러 가지 입출력 장치들을 응용하여서 디지털 시계의 입출력에 응용하게 됩니다. ... AVR KIT를 이용한 디지털 시계 목차 1. 설계 배경 및 목표 2. ... 출력 매체도 Text LCD에만 국한되지 않고 LED, Graphic LCD, DOT MATRIX 등 KIT 내의 다양한 장치들을 활용하여서 예전 디지털 시계의 단순함을 없애며, 외부
    리포트 | 58페이지 | 2,000원 | 등록일 2012.05.07 | 수정일 2019.01.02
  • 파일확장자 AVR(Atmega128)을 이용한 디지털 시계
    기존의 디지털 시계에 시간(AM/PM), STOP WATCH 기능을 추가 한다.2. ... 외부 switch interrupt를 이용하여 시간을 수정한다.4. 4개의 딥스위치 Dipswitch를 사용하여 시계 조작의 편리성을 갖춘다.5.
    리포트 | 31페이지 | 3,500원 | 등록일 2011.06.27
  • 파일확장자 <<AVR 스탑워치 만들기>>AVR스탑워치,초시계,디지털초시계,atmega128,회로도,소스코드,동작원리,스톱워치,타이머카운터,세그먼트,segment,타이머
    개발환경 · OS : Windows · Program tool : AVR Studio, Atmel studio · Compiler : AVR-GCC · Language : C language ... ['[AVR 스탑워치 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. ... · AVR MCU : ATmega128#include #include #define Fnd_delay 3
    리포트 | 11페이지 | 4,000원 | 등록일 2015.10.25 | 수정일 2017.04.20
  • 한글파일 AVR 디지틀 시계(C사용)
    고찰 지난 학기 8051 실습 때 어셈블러로 디지털 시계를 하던 때 고찰에 C로 한번쯤 같은 프로그램을 짜보고 싶다고 했던 적이 있었는데 이번 AVR로 인해 그 내용을 실행하게 되었다 ... LCD를 이용한 8515 디지틀 시계. 1. 전체 구성 초기화 -> 시간출력 -> 시간처리 -> 달력처리 2. ... AVR로 프로그램을 짜는 도중에 느낀 것은 나의 개인적인 취향인지 아니면 단순해서 인지 C프로그래밍보다 어셈블러가 심플한 반면 프로그램을 짜기가 더 손쉽게 느껴졌었다.
    리포트 | 10페이지 | 1,000원 | 등록일 2008.07.07
  • 한글파일 AVR 마이크로 프로세서 ATmega128 을 이용하여 시계, 스탑 워치, 알람, 다운 카운터 실험 설계 프로젝트 (코드 포함)
    Mode 0 : Digital Clock 가장 기본적인 기능으로써 Timer을 이용한 디지털시계이다. ... 실험 목적 Microprocessor 실험 및 설계 과목에서 배운 내용들을 활용하여 AVR Processor 기반 Microcontroller Unit인 ATmega128 라이트 모듈로 ... 타이머 overflow 시 발생, 상향, 카운트중 clear X ctc : prescaler 기능 사용 0x00 ~ OCRx 일정 값에 따라 출력 반전 pwm : 펄스의 duty 조절
    리포트 | 29페이지 | 5,000원 | 등록일 2017.01.11
  • 파일확장자 AVR ATMEGA128을 이용한 디지털 시계 설계
    설계 배경 및 목표- 설계 배경 한 학기 동안 학습한 AVR KIT 내의 여러 가지 입출력 장치들을 응용하여서 디지털 시계의 입출력에 응용하게 됩니다. ... 출력 매체도 Text LCD에만 국한되지 않고 LED, Graphic LCD, DOT MATRIX 등 KIT 내의 다양한 장치들을 활용하여서 예전 디지털 시계의 단순함을 없애며, 외부 ... 이전에 설계했던 디지털 시계는 단순히 시간만 표시하였지만, 이번에 설계하는 시계는 시간 수정, 스탑 워치 등 시계에 필요한 기본적인 부가 기능을 제공함으로써 실제의 시계에 가깝도록
    리포트 | 58페이지 | 5,000원 | 등록일 2009.02.09
  • 한글파일 [AVR/MCU] 8051칩을 이용해 시계/타이머 제작 프로젝트[소스코드 해석]
    AVR과 비슷한 마이크로프로세서8051의 한 종류인 89S51이란 칩을 가지고 시계와 타이머를 제작하려고 한다. ... Timer0 를 오토 리로드 모드(Mode 2)로 설정하고 2 } delay(); } if (Set_minute == 0) { // 분을 조정하는 코드 alarm_minute++; ... 기판에 회로도 스캐치 -3 브래드 보드로 회로 테스트 -4 기판에 소켓 배치 결과 및 고찰 -1 완성 모습 -2 애로사항 및 고찰 참고문헌 목 표 한 학기 동안 실험(4)수업을 통해 AVR
    리포트 | 25페이지 | 3,000원 | 등록일 2012.11.16
  • 파일확장자 avr을 이용한 디지털 시계(기능추가)
    개요- 책에 제공된 예제를 바탕으로 KUT-8515 Board와 2 Line LCD를 이용하여 기능을 추가 한 디지털시계를 제작하였다.
    리포트 | 21페이지 | 1,500원 | 등록일 2007.06.06
  • 한글파일 [공학]AVR(C언어) 디지털시계 프로그램 소스
    외부 인터럽트를 이용하여 시계 및 알람을 설정한다. 2. 작품 목표 지금까지 배운 C명령어와 8515의 인터럽트 기능을 이해하고 디지털 시계가 동작되도록 프로그램을 작성한다. ... 작품 결과 외부 인터럽트 0, 1을 이용하여 시계를 설정하여 AM/PM, 시각, 분, 초, 요일을 LCD에 표시하고 알람 설정시 알람세팅 시간과 현재 시계의 시간이 일치하면 P1포트의 ... 작품 내용 C언어로 작성한 프로그램을 8515에 저장하여 연결된 LCD에 디지털 시계를 표시. 5.
    리포트 | 11페이지 | 2,000원 | 등록일 2007.01.16
  • 한글파일 -avr-전자시계 소스 상세 해설 한글 2004버젼(2002가능)
    // 화일명 : clock.c // 설 명 : 시간을 조정할 수 있는 디지틀 시계 제작 // 타이머를 이용하여 1초 펄스를 발생시킨다. // 외부 인터럽트 요구 신호를 이용하여 시간을 ... 조정한다. // - KEY0(INT0) : 시간 조정 자리수 이동 -> 자릿수에 커서가 깜박인다. // - KEY1(INT1) : 시간 증가 // 주 의 : 시간 조정 중에는 시간이 ... #include // avr 90s8515의 포트 및 각종 레지스터, 인터럽트 등이 정의 되어있다 #include // delay 함수를 정의하여
    리포트 | 6페이지 | 1,000원 | 등록일 2004.08.18
  • 한글파일 정보통신공학과 7조 레포트2(timer1을 이용한 시계)
    < TIMER1을 사용하여 시계 만들기 >00시 00분 00초 ~ 23시 59분 59초#include#includetypedef unsigned
    리포트 | 7페이지 | 3,000원 | 등록일 2019.07.29 | 수정일 2023.06.22
  • 한글파일 마이크로프로세서+6주차+결과보고서-실습8 Timer를 이용한 디지털 시계
    실습 내용 ATmega128 마이크로컨트롤러를 이용한 타이머를 구현하여 디지털 시계의 기능을 설계해본다. ... 편의상 시계는 초과 분까지만 표시한다. 2. 실습8 이론 설명 요약 상수를 지정하고 싶을 때 enum이라는 타입을 이용해서 지정한다. ... 소스 설명 : 1)AVR 입출력에 대한 헤더 파일과 타이머 인터럽트 를 사용하기 위한 헤더delay 함수사용을 위한 헤더파일을 선언한다. 2)Array FND에 데이타를 출력하기 위한
    리포트 | 9페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 한글파일 실험4 예비 5_마이크로프로세서 실험 및 설계 실습8. 타이머를 이용한 디지털 시계
    타이머를 이용한 디지털 시계 #include //확장자가 .h로 끝나는 avr/io라는 헤더파일을 포함하라는 의미의 선언. ... #include //확장자가 .h로 끝나는 avr/interrupt라는 헤더파일을 포함하라는 의미의 선언.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 한글파일 마이크로프로세서+4주차+예비보고서2 인터럽트
    예를 들면 시간이 10분 정도 미루어진 거처럼 보이는 시계를 맞추기 위해 시계의 원래 동작을 멈추고 시간을 멈추는 작업을 인터럽트라 볼 수 있는 것이다. ... SIGMAL(SIG_INTERRUPT0) { 인터럽트 실행 명령 } · avr입/출력 헤더파일 선언 · 인터럽트 관련 헤더파일 선언 · 외부 인터럽트가 올 때 발생시킴 · 메인 함수 ... 실행 · 0비트만 입력(실제로 INT 0) · INT 0 허용 · 허용된 인터럽트 신호의 최종허용 · main loop 명령어 세트 · 인터럽트 서비스 루틴 내용 작성 마지막으로 AVR에서의
    리포트 | 7페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 한글파일 실험4 Digital Clock 프로젝트 보고서
    실험 목적 Microprocessor 실험 및 설계 과목에서 배운 내용들을 활용하여 AVR Processor 기반 Microcontroller Unit인 ATMEGA 128 라이트 ... Mode 0 : Digital Clock 가장 기본적인 기능으로써 Timer를 이용한 디지털 시계이다. ... 스탑 워치 알람 모드 카운트 다운 mode 4 : multiplication, new 그 외에 기본 시계모드로 돌아감 print_FND_2bit(msec, 0, 0);, print_FND
    리포트 | 25페이지 | 5,000원 | 등록일 2020.01.02 | 수정일 2021.12.01
  • 한글파일 제어계측공학과 졸업작품『ATMEGA128을 이용한 지능형 알람로봇』
    모형 자동차, 무선조정용 장난감 등을 비롯하여 여러 방면에서 가장 널리 사용하고 있는 모터이다. ... 그리고 프로세서에 전진, 장치를 부착하지 않아도 됨 ? AVR은 Atmel사의 고밀도 비휘발성 메모리 기술을 기반으로 제조된다. ... 컨트롤러 다운로드 기능과 AT89S52, AT89S51등 89S계열의 8051호환 마이크로 컨트롤러에 사용 ◆ ICC-AVR에서 컴파일 후 자동 다운로드 가능 ◆ USB to Serial
    논문 | 30페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • 파워포인트파일 [마이크로컨트롤러]7 Segment LED 제어하기
    _ delay_ms (500); cnt ++; if( cnt ==10) cnt =0; } } 마이크로컨트롤러 1 7 Segment LED Array 에 아래 그림과 같이 디지털 시계를 ... e h a b c d e f g h PD0 PD1 PD2 PD3 PD4 PD5 PD6 PD7 ATmega128 D 포트 com common cathode type #include avr ... PORTD=0x6f; _delay_ms(500); } } #include avr / io.h #include util / delay.h void main(void) { unsigned
    리포트 | 8페이지 | 1,000원 | 등록일 2022.10.10
  • 파일확장자 홍익대학교 마이크로프로세서 실험 및 설계 (실험4) Term project 보고서
    연상). ② 위 기능들을 단 한 번에 바꿀 수 있도록 작동하는 버튼도 같이 설계하기.(2) 실험 도구(사용 모듈)① ATmega128 모듈 ② 7447 IC칩 6개③ 정전압 분배, 조절기 ... ∘가장 기본적인 기능을 하면서, 일상 생활에서 흔히 볼 수 있는 시계. ... 마이크로프로세서 실험 및 설계 과목에서 배웠던 내용들을 모두 다 종합하여 ATmega128의 기능을 구현시키는 마이크로프로세서 모듈을 이용, 알람, 시간 기록 등 추가 기능이 포함된 디지털 시계
    리포트 | 45페이지 | 6,000원 | 등록일 2023.09.04
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업