• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(770)
  • 리포트(751)
  • 시험자료(13)
  • 자기소개서(3)
  • 서식(2)
  • 논문(1)

"Stop Watch" 검색결과 1-20 / 770건

  • 파일확장자 랩뷰(LabVIEW) 스탑워치(Stop watch), 타이머 프로그램
    "랩뷰(LabVIEW) 스탑워치, 타이머 프로그램"에 대한 내용입니다. 1) 랩뷰 기반으로 스탑워치를 구현한 자료입니다. 2) 상태머신의 기본적인 내용이 반영되게 제작하였습니다. 3) 자료 구성 - 랩뷰 파일(스탑워치): 내부에 상세히 설명되어 있습니..
    리포트 | 2,000원 | 등록일 2022.06.01
  • 한글파일 VHDL Stop Watch를 이용한 스톱워치 설계
    VHDL Stop Watch 설계 목차 1............. 실험 내용 및 목적 2............. 소스작성 및 분석 3............. ... Watch를 설계하는 프로젝트였으며, 많은 참고문헌과 실제 수업시간에 공부하는 책을 바탕으로 구성했습니다. ... 다른 방법으로 SW_B는 STOP를 설정하였는데 STARTSTOP가 0이면 MSEC 기능에서 전체 부정이 되므로 동작이 안됩니다.
    리포트 | 7페이지 | 1,500원 | 등록일 2012.11.17
  • 한글파일 아주대 논리회로실험 프로젝트 FPGA로 Stop Watch 만들기
    설계 목적 - FPGA를 이용하여 Stop Watch를 구현해보는 것이다. ... Quartus2 프로그램을 이용하여 Stop Watch가 작동할 수 있는 회로를 구성한 뒤, FPGA를 사용하여 Bread Board와 7-segment를 이용하여 동작을 확인한다.
    리포트 | 15페이지 | 5,000원 | 등록일 2015.03.12
  • 한글파일 전자회로실험 - Digital Stop Watch1
    -------------------------------------------------------------------- Digital Stop Watch ------------
    리포트 | 7페이지 | 1,500원 | 등록일 2013.05.16
  • 한글파일 전자회로실험 - Digital Stop Watch2
    실험제목 : Digital Stop Watch 2. 학번, 반, 조, 이름 : 0000000000 0반 0조 ㅇㅇㅇ 3. 제출일 : 2010년 10월 13일 수요일 4.
    리포트 | 9페이지 | 2,000원 | 등록일 2013.05.16
  • 한글파일 아주대 논리회로실험 설계 프로젝트 예비보고서(Stop Watch)
    설계 목적 - FPGA를 이용하여 Stop Watch를 구현해보는 것이다. ... Quartus2 프로그램을 이용하여 Stop Watch가 작동할 수 있는 회로를 구성한 뒤, FPGA를 사용하여 Bread Board와 7-segment를 이용하여 동작을 확인한다. ... 설계 요소 * 입력 : Start/Stop, Lap/Reset 버튼 2개로 구성 * 출력 : 7segment 5개를 사용하여 분, 초, 0.1초를 구현 1) FPGA
    리포트 | 7페이지 | 3,000원 | 등록일 2015.11.28
  • 한글파일 VHDL - 디지털 스톱워치(Digital Stop Watch) 프로젝트
    개요 - Digital Stop Watch는 정확하게 시간을 멈출 수 있고, 시간을 숫자로 표시해 주기 때문에 보기 쉬운 장점이 있어 Analog에 비해 활용도가 높고 Digital ... Watch 1. ... - - - - - - - - - - 19 page ◎ 소 감 - - - - - - - - - - - - - - - - - - - - - - - - - 19 page Digital Stop
    리포트 | 19페이지 | 2,000원 | 등록일 2011.03.01 | 수정일 2021.07.04
  • 한글파일 논리회로 설계-Stop watch설계
    그림 STOP WATCH 회로 (4) 기능이 검증된 각 블록을 MyLogic을 사용하여 심볼화 하여 그림 3-1과 같이 전체회로 로 구성하여라 (5) 설계된 전체 논리회로에 대해 MySim으로
    리포트 | 3페이지 | 2,000원 | 등록일 2008.12.03
  • 한글파일 [디지털논리회로] dash Watch (STOP WATCH) VHDL로 설계하기[쿼터스]
    < DASH WATCH > 목 차 0. ... Dash Watch 시현 사진 ------------------------------8 13. ... 입력을 0을 넣어줬을 때 ON되는 것으로 설정하였다. - START에서 입력이 들어가면(80ns) 타이머가 돌아가는데 그 때 0.01자리 세그먼트가 올라가는 것을 확인 할 수 있고, STOP하여
    리포트 | 30페이지 | 2,500원 | 등록일 2009.05.09
  • 파워포인트파일 (디지털시스템설계)VHDL Digital Stop Watch 제작 계획서
    Project 내용 설명 입 - 출력장치 ( Input-Output Device ) Stop Watch 의 설계 조건 Stop Watch 동작 설계 (1) Stop Watch 설계 ... ) (0.00 초 ) 초기에 표시되는 값은 00 : 00 : 00 START_STOP BUTTON 가 눌러지면 시간이 증가함 (3) Stop Watch 동작 설계 시간 증가 모드 ... Watch 동작 설계 vcc Switch Reset Switch Start Stop vcc 주파수 분주기 vcc Reset CLK Start_Stop Com0 Com1 Com2 Com3
    리포트 | 13페이지 | 1,000원 | 등록일 2010.12.01
  • 한글파일 [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] 기말 프로젝트(Final Project) 스탑워치(Stop Watch) 제작
    mode_11(Stop_Watch) - 초기화 ? ... mode_11(Stop_Watch) - lap_time 저장 ? ... mode_11(Stop_Watch) - lap_time 출력 ?
    리포트 | 22페이지 | 5,000원 | 등록일 2014.10.21 | 수정일 2016.06.15
  • 파일확장자 [전자계열 (VHDL)] STOP WATCH (VHDL)
    - Key Check• clock과 reset을 제외하고 외부에서 들어오는 모든 신호( start, hour_up, hour_down, min_up, min_down )를 이 블록을 거치게 함• 5~10 clock이 한번의 입력이 되게 하고 10 clock 이상이 들어..
    리포트 | 8페이지 | 1,500원 | 등록일 2003.06.26
  • 워드파일 [에리카A+] 마이크로프로세서응용 Lab6 Stopwatch Using Timer
    . < EXERCISE 1 > 본격적인 STOP WATCH 구현에 앞서 Exercise 1의 Timer0.c 파일을 실행하여 오실로스코프에 나타나는 Square Wave 파형을 관찰하고 ... 버튼을 누를 시에는 사전에 설정한 3개의 모드로 순차적으로 변경이 되면서 START, STOP, CLEAR 기능을 정상적으로 수행하였다. ... 가장 초기에는 mode 값을 CLEAR 로 설정해놓고 버튼이 눌릴 때마다 mode 값을 START, STOP, CLEAR 순으로 번갈아가며 나타나게끔 해주었다. 5.
    리포트 | 16페이지 | 1,500원 | 등록일 2020.04.02 | 수정일 2020.08.26
  • 워드파일 건국대학교 마이크로프로세서응용 Lab7 A+
    Watch with Stop/Go function(SW5) and Reset function(SW4) ;****************************************** ... of 1/100 Stop Watch ;***************************************************** Ext_Int5: cli in SREG2, SREG ... 1(Start Mode)이었다면 r0(0)의 값을 넣어주어 Stop Mode가 되도록 해주었다.
    리포트 | 17페이지 | 5,000원 | 등록일 2024.04.11 | 수정일 2024.04.22
  • 워드파일 전전설2 파이널 프로젝트 디지털시계 (전자전기컴퓨터설계2 실험 파이널 프로젝트)
    == 1) // STOP WATCH MODE begin LCD_DATA = 8'b01011001; // Y end else begin if (DAY == 0) LCD_DATA = ... == 1) // STOP WATCH MODE begin LCD_DATA = 8'b01000100; // D end else LCD_DATA = 8'b00101111; // SLASH ... == 1) // STOP WATCH MODE begin LCD_DATA = 8'b00100000; end else begin if (SEC_ONE == 0) LCD_DATA = 8
    리포트 | 73페이지 | 5,000원 | 등록일 2019.11.09
  • 한글파일 실험4 Digital Clock 프로젝트 보고서
    Mode 1 : Stop Watch Time을 기록하는 기능으로 Lap_time을 기록하는 기능을 포함한다. ... clear while문을 빠져나왔을 때 1번만 실행 Stop Watch가 일시정지일 때 Switch 4를 누를 시 진입 Switch 4를 누를 때 마다 LAP_INDEX 순환(0- ... Stop Watch가 일시정지일 때 Switch 5를 누를 시 진입 LAP_TIME 배열 모두 초기화 그 밖의 Data도 모두 초기화 INT0~3, 6 Enable FND 출력값 초기화
    리포트 | 25페이지 | 5,000원 | 등록일 2020.01.02 | 수정일 2021.12.01
  • 워드파일 축의 비틀림 진동수의 측정
    실험 결과 실험값[Hz] 이론값[Hz] 상대오차[%] Stop watch 1.82116 1.865 2.35 가속도계 1.85 1.865 0.8 5. ... 더하여, 물체의 밀도 또한 모든 곳에서 일정하다는 이상적인 가정하에 계산을 했기 때문에, 이 또한 오차에 영향을 주었을 것으로 예상된다. 2) 실험 시 측정 오차 실험값 중 stop watch
    리포트 | 8페이지 | 3,500원 | 등록일 2023.04.25
  • 한글파일 수원대학교 A+ 화학공학응용및실험 뉴튼 유체의 점도 결과레포트
    Stop Watch를 이용하여 시간을 측정하였다고 하나 매 실험마다 측정자가 달라서 반응속도에 의한 오차가 발생하였고, 반복 실험을 하지 않았다. 3. ... 이번 실험에서는 Ostwald Viscometer, 피펫 필러, Stop Watch, 항온조 등이 실험 장치로 사용되며, 증류수, 5%, 10%, 15%, 20% NaCl 수용액, ... 이상적인및 재료 ① Ostwald viscometer ② 항온조 ③ Stop Watch ④ 피펫 필러 ⑤ NaCl ⑥ Ethanol ⑦ 증류수 2) 실험 방법 ① 점도계를 세척액 메탄올
    리포트 | 17페이지 | 3,000원 | 등록일 2024.01.17 | 수정일 2024.04.17
  • 워드파일 액체의 점성계수 측정
    실험기구모세관, 원통용기, 비커, U자형 수준기, 온도계, Stop watch, 천칭, 메스 실린더, 거울 눈금자, 유동 현미경8.
    리포트 | 8페이지 | 1,500원 | 등록일 2020.08.09
  • 파일확장자 홍익대학교 실험4 마이크로프로세서 실험 프로젝트
    Watch, Alarm, Down Count Timer를 구현하고 그 동작 및 기능을 분석하고 이해한다.기능- 총 4가지 Mode가 존재하며, Button 1을 이용하여 Mode를 ... 변경할 수 있다.Mode 0 : Digital Clock가장 기본적인기능으로써 Timer를 이용한 디지털 시계이다.Mode 1 : Stop WatchTime을 기록하는 기능으로 Lap_time을 ... 목적-Microprocessor 실험 및 설계 과목에서 배운 내용들을 활용하여 AVR Processor 기반Microcontroller Unit인 ATMEGA 128 라이트 모듈로 Digital Clock, Stop
    리포트 | 9페이지 | 6,000원 | 등록일 2020.01.06
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업