• LF몰 이벤트
  • 파일시티 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(612)
  • 리포트(576)
  • 시험자료(17)
  • 자기소개서(12)
  • 방송통신대(5)
  • 논문(2)

"MUX회로" 검색결과 1-20 / 612건

  • 한글파일 디지털 회로 실험-MUX와 DMUX
    디지털 회로실험 실험8. MUX와 DMUX 1. 목적 -MUX와 DMUX의 동작원리를 이해한다. -MUX와 DMUX의 특성을 확인한다. 2. ... MUX응용회로의 입력(I0~I3, S)을 회로도와 같이 연결하고 선택입력(A, B)에 해당 신호(1 또는 0)를 넣었을 때 나오는 출력(Sn, Cn)을 예상해서 표를 작성하시오. ... 앞에서 구성한, 4x1 MUX회로의 입력(I0~I3, S)을 회로도와 같이 연결하고 선택입력(A, B)에 해당 신호(1 또는 0)를 넣었을 때 나오는 출력(F)을 예상해서 표를 작성하시오
    리포트 | 11페이지 | 2,000원 | 등록일 2022.09.10
  • 한글파일 논리회로실험 비교기와 MUX, ALU
    논리회로설계 실험 예비보고서 #5 실험 5. 비교기와 MUX, ALU 1. ... 실험 목표 출력이 입력에 의해서만 정해지는 조합논리회로인 비교기, MUX, DEMUX, ALU의 개념과 특성에 대해 알아보고, 조합논리회로의 한 예로 주어진 ALU의 진리표를 토대로 ... docId=818348&cid=42344&categoryId=42344 2) MUX & DEMUX http://blog.naver.com/PostView.nhn?
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 워드파일 논리회로설계실험 4주차 MUX 설계
    1) Objective of the Experiment(실험 목적) 이번 실험의 목적은 4:1 MUX와 1:4 DEMUX를 강의 시간에 배운 2:1 MUX와 1:2 DEMUX의 modeling ... 검증할 수 있다. 2) Theoretical Approach(이론) 2.1) 4:1 MUX 4:1 MUX는 a,b,c,d 4개의 input과 2개의 input selections ... 코드는 따로 수정하지 않았고 교수님께서 제공해주신 코드를 그대로 사용하였다. 4) Result(실행 결과) 4.1) 4:1 MUX 4:1 MUX에서 출력되는 파형은 다음과 같았다.
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.11
  • 한글파일 Mux&Decoder2차레포트 디지털회로설계
    MUX(Multiplexer) 멀티플렉서는 MUX, MPX라고 줄여 부르기도 하며 여러 개의 입력 중 하나를 선택해 출력으로 내보내는 논리 회로를 말한다. ... REPORT 기본로직 설계 및 시뮬레이션 검증 제출일 2020 전 공 전자공학 과 목 디지털회로설계 학 번 . 담당교수 . 이 름 . ... Schematic , VHDL (5) FND Decoder VHDL 을 이용하여 구동하고 구현한 회로를 DE2보드를 사용하여 동작 결과 확인하기 논리게이트를 사용한 흐름제어 예를
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • 한글파일 논리회로실험 비교기와 MUX, ALU 결과보고서
    논리회로설계 실험 결과보고서 #5 실험 5. 비교기와 MUX, ALU 1. ... ALU 회로는 입력되는 수에 대해서, 수행해야할 입력을 따로 받고, 그것이 어떠한 연산을 해야 하는지 읽은 후에 계산을 한다는 것을 알게 되었다. ... 실행해야할 작업들이 비교기나 MUX같은 어려운 작업이 아닌 단순한 덧셈 뺄셈 같은 경우 function이나 procedure를 사용하는 것 보다 그냥 예비보고서에서 했던 방식으로 작성하는
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 논리회로설계실험_비교기,MUX,ALU 결과레포트
    논리회로설계 실험 결과보고서 #3 실험 3. 비교기_MUX_ALU 1. 실험 목표 비교기, MUX, ALU를 설계해 본 후, 8가지 기능을 가진 ALU를 설계해본다. ... 비교기 mux demux alu를 직접 설계해보는 시간이었는데 저 중 익숙한 것은 비교기와 mux밖에 없었다.
    리포트 | 17페이지 | 2,500원 | 등록일 2021.10.09
  • 한글파일 논리회로실험) Mux and Demux 결과
    결 과 보 고 서 6주차 실험 5 : MUX and DEMUX 1. ... 기존에 있던 실험과는 달리 New Project를 할 때 필요한 MUX 와 DEMUX 의 .v 파일을 직접 끌어와서 추가시켜야 한다. 1. 2 x 1 Multiplexer (MUX ... MUX 일 때는 입력이 2ⁿ이고, DEMUX 일 때는 출력이 2ⁿ이다 .
    리포트 | 10페이지 | 2,000원 | 등록일 2014.01.06
  • 워드파일 논리회로설계실습-비교기-MUX-ALU-예비보고서
    논리회로설계 실험 예비보고서 #5 실험 5. 조합 회로 설계-비교기_MUX_ALU 실험 목표 비교기와 MUX, DEMUX 그리고 ALU의 작동에 대하여 이해한다. ... 멀티플렉서(MUX: mulitplexer) 멀티플렉서는 여러 개의 입력 신호 중 하나를 선택하여 단일 출력에 전달하여 주는 역할을 하는 회로이다. ... MUX를 예로 들어 본다. MUX의 구성도는 다음과 같다. 여기서 선택입력 S1, S0의 조합에 따라 출력 Y로 나타나는 입력이 결정 된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 한글파일 논리회로설계실습-비교기-MUX-ALU-결과보고서
    논리회로설계 실험 결과보고서 #5 실험 5. 조합회로 설계 - 비교기, MUX, ALU 1.
    리포트 | 8페이지 | 1,500원 | 등록일 2018.01.10
  • 한글파일 논리회로실험) Mux and demux 예비보고서
    - 115 에서의 동작 확인 - Quartus II 구동 후 1 x 2 DeMultiplexer 회로를 구성하고 ModelSim 이용하여 파형 . ** 본 실험에서는 MUX ( Multiplexer ... 예 비 보 고 서 6주차 실험 5 : MUX and DEMUX 1. ... 출력선의 개수에 따라 결정된다 . - MUX는 2^n개의 입력 중 하나를 선택하여 그 값을 그대로 출력하는 장치이다 . ① 2 x 1 MUX (Multiplexer) [ 표 ] 2
    리포트 | 4페이지 | 2,000원 | 등록일 2014.01.06
  • 한글파일 논리회로 설계실험 mux
    Purpose: 2x1 MUX 7개를 이용하여 8x1 MUX 1개를 구현해 내는 것 ? 우선은 2x1 MUX를 구현 ? 2x1 MUX 7개를 연결 ? ... 각 2x1 MUX의 입력과 출력은 무엇인가? ? MUX의 출력은 다시 어떤 2x1 MUX의 입력이 되는 것인가? ? 선택선의 사용결정 ? ... Implementation 8x1 MUX를 구현하기 위해서는 2x1 MUX가 필요하다. 2x1 MUX(source code file name: mux2.vhd)의 입력포트는 i(std_logic_vector
    리포트 | 7페이지 | 1,000원 | 등록일 2009.07.10
  • 한글파일 디지털회로실험 2장 예비보고서 - MUX
    B를 이 MUX로 구현하는 MUX 그림을 그려라. 0 MUX 1 1 0 3.3 2개의 4대 1 MUX를 갖는 74153 칩의 핀 구성도를 인터넷에서 찾아서 그려라. 3.4 앞 실험 ... 실험 예비 보고 3.1 32대 1 MUX에서는 최소 몇 개의 선택선이 필요한가? ... - 32는 이므로 5개의 선택선이 필요하다. 3.2 4대 1 MUX에서의 두 선택 선을 A와 B라 하자. 이 때 XOR 즉 A?
    리포트 | 2페이지 | 1,000원 | 등록일 2012.12.17
  • 한글파일 [논리회로실험] Mux and Demux (결과)
    토의 및 반성 1) 이번실험에서는 mux와 demux의 차이를 명확히하고 그 작동원리도 이해 할 수 있었다. 2) 특히 각 회로마다 가지고 있는 Enable 단자의 역할이 매우 중요하며 ... 그들이 어떻게 동작하는지도 파악할 수 있었다. 3) 각각의 결과값은 예비보고서 작성시 확인했던 회로분석을 통한 결과와 일치하였다. 4) 조합회로의 특성을 가지고 있는 mux, demux ... Y0 0 X X L L L L +5 0 0 L L L H +5 0 +5 L L H L +5 +5 0 L H L L +5 +5 +5 H L L L ▶ 4개의 입력중 하나를 선택하는 mux
    리포트 | 6페이지 | 1,500원 | 등록일 2009.03.20
  • 한글파일 [논리회로실험] Mux and Demux (예비)
    설계한 2x1 Mux 2) 다음 회로도의 Tri-state buffer와 inverter를 결선하여 2x1 Mux를 설계해 보시오. ※ 도움말: Buffer는 High or Low의 ... 연습문제 1) 다음 회로도의 AND gate, OR gate와 Inverter를 결선하여 2x1 Mux를 설계해 보시오. ※ 도움말 : AND 게이트와 OR 게이트의 bit mask ... Tri-state buffer가 일종의 1 채널 switch 역할을 함으로 Mux를 구성할 수 있다.
    리포트 | 10페이지 | 1,500원 | 등록일 2009.03.20
  • 한글파일 MUX회로를 NOT, NAND 게이트로 구성된 회로(결과보고서)
    그리고 S단자는 Enable단자로 회로도에서 단자에 바가 달려 있어서, 실제로 이 단자에 0값이 들어가면 활성화 되어서, 회로가 동작한다는 것을 알 수 가 있었다. - 실험 2는 MUX ... 회로를 NOT, NAND 게이트로 구성된 회로를 구성하였는데, 회로에서 A, B 단자는 선택 단자로, 위의 진리값과 같이 선택단자의 값에 의해서 선택된 입력단자의 값이 출력단자로 ... 실제 입력단자로 사용한 A, B 단자는 MUX에서 출력단자를 결정해주는 select 단자인데 이를 이용하여 전가산기를 구성하였다. - 실험 3은 MUX 153 소자를 이용해서 전감산기를
    리포트 | 7페이지 | 3,000원 | 등록일 2009.03.11 | 수정일 2018.07.08
  • 한글파일 [응용논리회로설계]4x1 MUX
    결론 이번 과제는 mux를 설계하는데 4가지 방법을 통해 설계해 보았다. mux는 선택선에 의해서 입력값이 선택되어 출력되는 회로이다. 네가지 방법 모두 동일하게 결과가 나왔다. ... 소스코드 (1) if 문 이용 library ieee; use ieee.std_logic_1164.all; entity mux4_1 is port ( in0:in std_logic; ... _1; architecture BEHAVE of mux4_1 is begin process(in0,in1,in2,in3,sel) begin if(sel="00")then y
    리포트 | 6페이지 | 1,000원 | 등록일 2009.04.29
  • 한글파일 [FPGA_전자회로] Full-Adder를 이용한 신호등 구현과 16 to 1 Mux 구현
    코드 (1) 16 to 1 mux 코드 module mux_16x1(i0,i1,i2,i3,i4,i5,i6,i7,i8,i9,i10,i11,i12,i13,i14,i15,sel,y); input ... 그래도 생각보다 쉽게 과제를 해결해 내었습니다. 16 to 1 mux를 구현 해 내는 것은 매우 재미있었습니다. ... ; wire y; mux_16x1 m(.i0(i0), .i1(i1), .i2(i2), .i3(i3), .i4(i4), .i5(i5), .i6(i6), .i7(i7), .i8(i8),
    리포트 | 10페이지 | 1,000원 | 등록일 2012.12.11
  • 파일확장자 [논리회로][논리회로실험]mux&demux ppt 발표자료
    ⊙실험목적1. 멀티플렉서의 원리를 이해하고 특성을 실험으로 익힌다.2. 디멀티플렉서의 원리를 이해하고 특성을 실험으로 익힌다.3. 디코더와 인코더를 이해한다.
    리포트 | 14페이지 | 2,500원 | 등록일 2006.08.03
  • 파워포인트파일 4개의 입력과 1개의 출력을 가지는 회로를 and, or, not, nand, MUX, decoder를 이용한 설계
    회로도 4 to 16 Line Decoder의 간략화 4. 회로도 (4) Multiplexer 회로 2 X 1 MUX만을 이용한 회로이다. ... NAND Gate로 구성된 회로는 아래와 같다. A B C D S (3) Decoder 회로 4. 회로도 4. 회로도 4. 회로도 4. ... 회로도 (1) AND, OR, NOT Gate를 이용한 회로 AND, OR, NOT Gate만을 이용한 회로이다.
    리포트 | 20페이지 | 5,000원 | 등록일 2010.06.24
  • 한글파일 [논리회로] 4 to1 mux
    Four_Mux는 4개의 입력이 들어오면 Select 신호에 따라 하나의 출력을 내보내는 회로이다. ... Four_Mux회로도와 진리표는 다음과 같다. { { S1 S2 Out 0 0 D1 0 1 D2 1 0 D3 1 1 D4 Velilog Coding. module four_mux ... Four_To_One Mux 멀티플렉서(MUX : multiplexer) : 여러 회선의 입력이 한 곳으로 집중될 때 특정 회선을 선택하도록 할 수 있는 장치.
    리포트 | 2페이지 | 1,000원 | 등록일 2003.04.27 | 수정일 2019.10.22
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업