• 캠퍼스북
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,938)
  • 리포트(2,722)
  • 자기소개서(123)
  • 시험자료(39)
  • 논문(25)
  • 방송통신대(19)
  • 서식(8)
  • 이력서(1)
  • ppt테마(1)

"LED신호등" 검색결과 1-20 / 2,938건

  • 파일확장자 기존신호등과 LED 신호등 현장평가 비교 분석 (A Comparison Between Existing Lamp and LED Pedestrian Signals By Field Study)
    본 연구결과는 향후 제정될 LED 교통신호등 규격작업의 기초 자료로 활용되며 LED 신호등의 현장적용시 기술적 안정성을 추구하는데 일조를 할 것으로 판단된다. ... 그러나 국내에서는 LED신호등에 대한 현장평가실험 및 규격서 제정작업 전혀 이루어지지 상황으로 LED신호등의 기술개발 및 상용화에 대비한 평가실험 및 기준 정립이 요구된다. ... 따라서 에너지 절약 및 유비보수비용 절감을 위한 방안중의 하나로써 LED 교통신호등에 대한 산 · 학 · 연의 관심이 고조되고 있다.
    논문 | 10페이지 | 3,000원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • 파일확장자 LED 교통신호등 현장적용성 평가 및 경제성 분석 (An Experimental Evaluation and Economic Analysis for LED Traffic Signal)
    연구 내용으로써 기존 전구식 신호등과 LED 신호등의 발광원리 및 특징 비교 · 분석, 실내실험을 통한 에너지 절감효과 검증, LED 보행자 신호등 현장적용성 평가, LED 신호등으로 ... 실내실험을 통해 LED 신호등의 에너지 절감효과가 평균 76%임을 검증하였고 보행자 신호등에 대한 현장실험에서 설문응답자의 83.7%가 LED 신호등의 시인성이 우월하다고 나타나 현장적용성은 ... 측면이 이루어진 후 LED 국내 기술 수준 등을 총체적으로 고려하여 LED 교통신호등에 대한 보급방안이 강구되어야 할 것이다.
    논문 | 12페이지 | 4,100원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • 한글파일 LED 제어 및 신호등 만들기
    실험 제목 LED 제어 및 신호등 만들기 2. ... 흐름도 및 작동 사진 5) 신호등 만들기 6) 신호등 제어 프로그램 #include // I/O 가 정의되어 있는 헤더 파일 // 일정 시간 지연 함수 void delay ... , PORTB ); // Y2, R1 LED ON delay(200000); // 2초 지연 delay(200000); }while( 1 ); // 무한 루프 7) 신호등 제어 흐름도
    리포트 | 7페이지 | 1,000원 | 등록일 2011.10.13
  • 한글파일 교통신호(교통신호등)의 종류, 기능, 교통신호(교통신호등)와 LED교통신호, 교통신호(교통신호등)와 교통편익 비용분석, 가로망설계모형, 교통신호(교통신호등)와 신호최적화모형 분석
    교통신호(교통신호등)의 종류, 기능과 설치기준, 교통신호(교통신호등)와 LED교통신호(LED교통신호등), 교통편익 비용분석, 교통신호(교통신호등)와 가로망설계모형, 신호최적화모형, ... 교통신호(교통신호등)와 LED교통신호(LED교통신호등) 1. 신호등두 2. 광학장치 3. 구조 4. 전기 Ⅴ. 교통신호(교통신호등)와 교통편익 비용분석 Ⅵ. ... 구조 (1) LED 모듈은 독립된 단일형으로 하고, 전원 공급 장치는 신호등두내에 부착하거나 별개의 모듈로 교통신호제어기 등에 연결할 수 있다. (2) 신호등 뒷면에는 제조업체 이름과
    리포트 | 12페이지 | 5,000원 | 등록일 2013.04.01
  • 파워포인트파일 verilog HDL을 이용한 LED주사위 설계 피피티 (자판기 or 신호등)
    주사위 (DICE) 설계 Co n tents 설계 계획 PLD/LED 를 이용한 주사위 구성 회로 구현 및 회로의 동작 검증 Ask Me Anything 질의 응답 설계 결과 팀 역할분담 ... 진리표 작성 Xilinx / 회로 구현 및 PPT 작성 ㅌㅌㅌ ㅇㅇㅇ ㅈㅈㅈ 주제 선정동기 설계 주제를 고민 하던 중 흔히 우리 주위에서도 많이 볼 수 있으며 간단한 동작원리로 LED ... 또한 간단한 동작원리로 동작하는 주사위 값을 LED 로 표현하는 회로를 Verilog HDL 언어를 이용하여 직접 코딩하고 , Verilog HDL 언어를 조금 더 숙련되게 사용하고자
    리포트 | 14페이지 | 1,500원 | 등록일 2013.07.16
  • 파워포인트파일 led신호등을 통한 에너지 효율 향상
    사진3 현 신호등 체계 LED 신호등 도입효과 수동식 신호등 설명 기존신호등에 사용되는 램프 (백열전구) 발광반도체 소재인 LED를 이용 현 신호등 체계 LED 신호등 도입효과 수동식 ... LED 신호등 도입효과 우리나라 신호등 체제 사진1 현 신호등 체계 LED 신호등 도입효과 수동식 신호등 설명 차량 없는 도로에 작동하는 신호등으로 인한 에너지 손실 사진2 현 신호등 ... ) 절약 에너지 절약 자동차 매연 감소 현 신호등 체계 LED 신호등 도입효과 수동식 신호등 설명 호주교통신호등 현 신호등 체계 LED 신호등 도입효과 수동식 신호등 설명 싱가폴신호
    리포트 | 17페이지 | 1,500원 | 등록일 2009.05.08
  • 한글파일 verilog HDL을 이용한 LED주사위 설계 보고서 (자판기 or 신호등)
    주사위 회로 동작 조건정의 (모듈, 입출력변수, 매개변수) -주사위 제어회로 모듈 = 입력 = LED전광판 점등 버튼(input stop) = 상태 = state는 상태변수(y0, ... 과제 계획 대비 및 상황 요약 - 흔히 우리 주위에서도 많이 볼 수 있으며 간단한 동작원리로 LED연결을 할 수 있는 주사위로 주제를 선정하여 주사위를 던져서 주사위 눈의 개수로 승패를 ... 이번 주사위 설계 프로젝트에서는 실생활에서 흔히 볼 수 있는 주사위를 대상으로 하여 간단한 LED전광판에 임의 숫자인 주사위의 눈이 출력되는 동작 원리에 대해서 연구해 볼 것이다.
    리포트 | 7페이지 | 1,500원 | 등록일 2013.07.16
  • 한글파일 [전기조명] LED 신호
    LED 신호등의 장점 ●LED 교통신호등 특징 1. 국내분진등이 소화하여 발생하는 대기오염물질을 생성하지 않는다. ... ,광학) 도로시험설치 운전 및 설문조사 LED교통신호등 (잠정)규격 제안 - 2차, 3차년도 : LED신호등 보급기반 구축, 시범화 사업 추진, 대량보급 4LED 교통신호등 보급 환경 ... 현재 사용하고 있는 신호등과의 비교를 통하여 이득이 될 수 있는 것을 찾고 또 여러 나라에서 LED신호등을 이용하고 있는데 이러한 국가의 동향과 LED신호등을 사용하여 갖는 여러 장점을
    리포트 | 10페이지 | 1,000원 | 등록일 2001.12.04
  • 파워포인트파일 [사업검토서]LED교통신호등 사업타당성 분석보고
    이번에 신규로 추가된 LED교통신호등은 자제에서 빛을내는 LED를 이용한 신호등으로 에너지 소비전력 15W, 수명 10년이상으로 현재 사용되고 있는 백열전구(100W, 수명6개월정도 ... 280개의 LED Lamp 사용) 2002년 6월 경찰청 성능시험 합격, 영업활동중. ○ 한국전기교통㈜ 교통신호등, 교통제어기 생산업체이며 자체적으로 LED교통신호등 개발 완료한후 ... 현재 국내에는 교통신호등이 약28만개 정도가 설치되어 있으며, 신호등 등수는 80만개 정도로 이를 모두 LED교통신호등으로 교체시 연간 208GWh(114억원)의 전력저감이 가능할
    리포트 | 45페이지 | 6,000원 | 등록일 2004.01.17
  • 파일확장자 [A+]중앙대 아날로그및디지털설계실습 예비보고서1 초전형 적외선 센서
    (단 Gain이 1000V/V가 되도록 설계하시오.)4-3 4-2의 Op-Amp의 출력신호를 이용하여 센서의 움직임 검출 신호LED 점등으로 확인할 수 있는 회로를 추가하시오. ... 설계하시오 (C 값은 10uF 고정)4-2 Op-Amp 반전증폭기를 2-stage로 연결하여 적외선 센서의 출력신호에 변화가 생길 경우 그 신호를 증폭시키는 회로를 설계하시오. ... 실습 계획서4-1 초전형 적외선 센서(RE200B)와 증폭기 사이에 신호를 전달하는 High-Pass Filter(DCblock, 3-dB freq.=5Hz)를 R과 C를 이용하여
    리포트 | 7페이지 | 1,000원 | 등록일 2022.09.08 | 수정일 2022.09.14
  • 파워포인트파일 보행자용 신호등 제작 발표자료
    보행자 신호등에 섰고 신호등인지 인식이됬으면 버튼을 찾고 버튼을 눌러 작동이 인식되면 , 보행자신호일때 건너도 된다는 소리가 나게됩니다 . ... 보행자 신호등 목차 방향성 공부 배치도 Q A 방향성 시각장애인들을 위한 보행자 신호등을 프로그래밍해보려했습니다 . 처음 생각은 완벽히 기본적인 요소들만 생각했습니다 . ... Ex) 신호 , 시간 , 소리 (Led, 7 세그먼트 , 부저 , 동작스위치 ) 기본요소들이 적어 더 추가 하기로 결정합니다 .
    리포트 | 11페이지 | 1,000원 | 등록일 2021.03.11
  • 한글파일 마이크로프로세서+4주차+예비보고서 인터럽트
    ; if(Time_STOP == 0) { if(LED_Data==0x80) LED_Data = 0x01; else LED_Data ... 인터럽트 서비스 루틴임 -발생시기를 예측할 수 없는 경우에 더 효율적 인터럽트와 인터럽트 서비스 루틴 -인터럽트가 발생하면 프로세서는 현재 수행중인 프로그램을 멈추고 상태레지스터와 PC등을 ... 레벨 트리거 인터럽트 신호는 워치독 오실레이터에 의해 2번 샘플링되며 이 기간이상의 펄스폭을 주어야한다.
    리포트 | 6페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 워드파일 [예비보고서] 1.초전형 적외선 센서
    이용하여 센서의 움직임 검출 신호LED 점등으 로 확인할 수 있는 회로를 추가하시오. ... (답안) 앞서 설계한 Op-amp의 출력신호를 이용해서 센서의 움직임을 LED 점등으로 확인하려면, 다음과 같이 출력단에 LED 소자를 연결하여 움직임을 검출할 수 있다. ... 회로도는 다음과 같다. 1-3-2 Op-amp 반전증폭기를 2-stage로 연결하여 적외선 센서의 출력신호에 변화가 생길 경우 그 신호를 증폭시키는 회로를 설계하시오.
    리포트 | 2페이지 | 1,000원 | 등록일 2023.01.03
  • 한글파일 PN 접합 다이오드 실험 보고서(전자회로 실험), A+ 보고서, 과학기술원자료
    다이오드와 LED의 V _{bi} 비교 다. 두 방향 신호등의 수동 제어와 자동제어 그림 28. 신호등 제어 회로 그림 29. ... 두 방향 신호등의 수동 제어 1) LED를 다음 그림과 같이 설치한다. 그림 12. ... 두 방향 신호등의 수동 제어와 자동제어 신호등 제어 회로를 구성하고, Digital Writer를 통해서 제어해본 결과 신호등 제어회로가 정상적으로 잘 작동함을 알 수 있었다.
    리포트 | 21페이지 | 1,500원 | 등록일 2019.09.05 | 수정일 2020.04.16
  • 한글파일 [A+] 중앙대 아날로그 및 디지털 회로설계실습 초전형(pyroelectric) 적외선 센서 예비보고서
    이용하여 센서의 움직임 검출 신호LED 점등으로 확인할 수 있는 회로를 추가하시오. 2-Stage OP-Amp 증폭기의 출력단에 다이오드를 추가하여 어느 정도 이상의 전류가 흘러야만 ... 다이오드를 거쳐 그 변화가 일정값 이상이 되면 전류가 흘러 LED에 불이 들어오도록 설계한다. ... Op-Amp 반전 증폭기를 2-Stage 로 연결하여 적외선 센서의 출력 신호에 변화가 생길 경우 그 신호를 증폭시키는 회로를 설계하시오.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.01
  • 워드파일 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    dp라는 LED도 있어서 부호의 표현 등도 가능하다. common cathode 7-segment display common cathode의 경우 3번과 8번 단자가 gnd로 공통으로 ... 보통 2n개의 ND BTN_0 LED_2 = BTN_1 AND (BTN_0)’ LED_3 = BTN_1 AND BTN_0 이다. ... 이 때 E는 Enable 신호로, 0일 때는 output이 모두 0이 나오고 1일 때 decoder가 동작한다.
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 한글파일 전자회로계측 다이오드
    교차 신호등 제어 1. 브레드보드에 6개의 LED를 두 방향으로 신호등과 같이 교차해 설치한다. 2. ... LED로 구성한 교차 신호등의 수동 / 자동 제어를 시행하고 각 제어의 방식을 이해했다. 축전기 필터 회로는 교류를 직류로 필터링해줄 때 사용될 수 있다. ... 마지막으로 신호등의 자동 제어가 잘 작동하는지 확인한다. Ⅲ. 다이오드 반파 정류 회로 1.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.06.01
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    Always @(*) 함축적 감지신호 표현으로 모든 입력신호를 *로 표현한 것 Initial 구문- 무한히 반복되는 always랑 다르게 한번만 실행된다. ... (입력: BUS SW, 출력: LED1~4) (1) Verilog HDL와 simulation 비트연산자를 통한 4bit_xor190 실제 핀 LED 12 LED 11 LED 10 ... 단지 선을 연결하거나 and나 or등의 모듈로 나온 선을 잇는 것만 가능하다는 특징이 있다. Verilog에서 for문, if문의 사용법에 대하여 조사하시오.
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 워드파일 마이크로프로세서 LED 구동보고서
    고려하여 사용할 장치 또는 부품 결정 3.디지털시스템 회로 설계 정보 전달과 장치 구동을 위해 적합한 전기 신호가 전달될 수 있도록 회로 설계 작성된 회로도에 따라 준비된 부품을 ... 분석 디지털시스템의 요구 사항 정의 개발될 디지털시스템이 해결할 수 있는 범위 결정 2.디지털시스템 구성 결정 요구 사항을 만족시키기 위해 디지털시스템 구성 규격, 성능, 가격 등을 ... 0xBD; // 두번째 와 7번째 LED가 ON되도록 함 } Delay(); if(PORTE == 0xBD) // ●○●○○○○○ > ●●○○○○○○ 이런방식의 동작으로 저 LED
    리포트 | 28페이지 | 1,000원 | 등록일 2019.06.02
  • 한글파일 아날로그 텀프로젝트 스마트 현광등
    인식하였을 때만 LED신호 검출 완료 - 초전 센서의 출력신호는 내부에 MOSFET이 존재하므로 원하는 신호를 내보낼 수 있도록 회로를 설계 - 하지만 출력이 수 mv로 매우 작기 ... 출력되도록 설계 int LED = 8; // LED 20개 단자 int LED2= 9; // LED 30개 단자 int PIR = 7; // 초전센서 필터하고 증폭된 신호 int ... () { state = digitalRead(PIR); // PIR 증폭하고 필터 된 입력신호 ADC값 digitalWrite(LED, LOW); digitalWrite(LED2,
    시험자료 | 14페이지 | 4,000원 | 등록일 2023.12.15 | 수정일 2023.12.17
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업