• LF몰 이벤트
  • 파일시티 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(491)
  • 리포트(470)
  • 시험자료(18)
  • 자기소개서(3)

"4비트감산기" 검색결과 1-20 / 491건

  • 한글파일 디시설 - 4비트 가산감산기 , BCD 가산기
    결과 보고서 ( 4비트 가산/감산기 , BCD 가산기 ) 제목 4비트 가산/감산기 , BCD 가산기 실습 목적 BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다 ... 가산기/감산기에서 입력이 다음 표와 같을 때 FND에 나타나는 출력 값은 얼마인가? ... 실습 내용 실습 결과 4비트 가산기 VHDL코드 - 코드 주요 내용 및 동작 부분 해석 package 선언 : 1~3행에서 데이터 비트를 4로 정의했기 때문에, a, b의 범위는 0
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 파일확장자 [부산대 어드벤처 디자인] 9장 2의보수 및 4비트 가,감산기 예비보고서
    실험목적2의 보수에 대한 이해를 바탕으로 Binary 4-Bit 가/감산기를 이해한다. Binary 4-Bit 가/감산기를 구성하고 동작을 파악한다2. ... 그래서 이러한 문제를 해결하기 위 하여 디지털에서의 가산기/감산기는 특별한 수의 형태를 쓴다. ... 실험 이론감산기에서는 가산기와는 다른 방식을 취해야 한다. 실제 숫자를 빼는 것처럼 하는 회로는 가산 기와는 전혀 다른 새로운 회로가 되어 이중 낭비가 발생한다.
    리포트 | 7페이지 | 2,000원 | 등록일 2024.03.15 | 수정일 2024.04.15
  • 한글파일 4비트감산기 설계 보고서
    < 설계 > 4-bit Adder/Subtractor Unit ▶ 문제 정의를 위한 명세 및 설계 범위 4비트감산기를 만들기 위해 4개의 fulladder에 각 각 4개의 A, ... 이번에서는 4비트 가/감산기를 설계했지만, 다음에 설계를 하고자 한다면 4비트보다 많게, 혹은 가/감산만이 아니라 곱셈/나눗셈까지 추가된 회로를 설계할 수 있을 것이다. ... fulladder를 이용하여 4비트 가/감산기를 설계해보았다. 이 프로젝트를 함으로써 제어신호에 따른 가/감산 출력 값을 시뮬레이션을 통해 확인하고 학습할 수 있었다.
    리포트 | 4페이지 | 1,500원 | 등록일 2014.05.19
  • 한글파일 XOR를 활용한 4bit_가감산
    이 름 :김성현 Verilog - 4bit Adder 설계 1. source_half adder 2. source_Full adder 3. 4bit감산기 4. ... 설계 해석 지금까지 배운 half_adder와 Full_adder를 이용하여 4bit감산기를 만들었습니다. ... Report < Enable 단자를 이용한 4bit감산기 > 과 목 : 디지털시스템설계 교 수 : 정진균 교수님 일 자 : 2011년 10월 20일 학 번 : 200711061
    리포트 | 4페이지 | 1,500원 | 등록일 2012.03.28
  • 한글파일 VHDL을 이용한 2비트 감산기, 4비트감산
    REPORT 1. 2비트 감산기 - 209페이지 Source 코드 참고 entity sub2 is port ( A : in STD_LOGIC_VECTOR ... 가감산기 entity fouurbit_lsi is Port ( C0 : in STD_LOGIC; A : in STD_LOGIC_VECTOR(4 downto 1); B : in STD_LOGIC_VECTOR ... "P138"; -- LED 1번 NET BR(1) LOC = "P136"; -- LED 2번 NET BR(0) LOC = "P135"; -- LED 3번 < 동작 확인 > 2. 4비트
    리포트 | 9페이지 | 2,000원 | 등록일 2011.06.22
  • 파워포인트파일 4비트 전가산기 감산기 설계
    1 4bit감산기 예제 4 장 . ... 관련 기술 및 이론 2 의 보수를 이용한 4 bit 감산감산기는 바로 앞의 낮은 단 위치의 디지트에 빌려준 1 을 고려하면서 두 비트의 뺄셈을 수행하는 조합회로라 할 수 있다 . ... 설계 ( 실험 ) 결과 3. 4 bit 감산기 4 bit 감산기의 Behavioral Module Modeling  코드의 사용은 이론에서 설명 4 장 .
    리포트 | 22페이지 | 2,000원 | 등록일 2010.09.09
  • 한글파일 4비트감산기 설계 및 타이밍도 확인
    1.두 개의 이진 4비트 수를 더하고 빼는 가감산기 회로를 그리시오. 2. ... 감산, 가산 선택에따라 감산과 가산이되는지를 타이밍도를 이용하여 확인하시오. (1) 입력값 현재시각 : 50ns A : 1011 B : 0100 M : 1 A : 0011 B : 1001
    리포트 | 3페이지 | 3,000원 | 등록일 2011.05.22
  • 한글파일 4bit감산기 Verilog구현
    4bit 감산기 설계 및 modelsim으로 시뮬레이션. ① 진리표작성 ▷1bit 감산기의 진리표 a[0] b[0] ~b[0] c_in s[0] c_out 0 0 1 1 0 1 0 ... wire, s는 4bit wire로 설정하였다. //////////////4bit 감산기 모듈 ///////////////////////////////// module hw2_fa4 ... fa4(a,b,c_in,s,c_out);//모듈 매핑 initial begin a=4'b0000;//값 할당 b=4'b0000; c_in=1'b1;//감산기 이므로 c_in은 1로
    리포트 | 4페이지 | 2,000원 | 등록일 2009.04.21
  • 한글파일 [A+자료] 논리회로 4비트감산기 설계 입니다.
    REPORT ( 4비트감산기 설계 ) 4비트감산기 1. 목적 FA 4개를 직렬로 연결하여 4-bit감산기를 설계한다. ... 설계를 통하여 가감산기 입력에 따른 출력 특성을 이해할 수 있다. 2. 4비트감산기 논리회로설계 3. ... VHDL을 이용한 4비트감산기 1) 소스 entity fouurbit_lsi is Port ( C0 : in STD_LOGIC; A : in STD_LOGIC_VECTOR(4 downto
    리포트 | 4페이지 | 3,000원 | 등록일 2012.06.17
  • 한글파일 반가산기, 전가산기, 4비트 전가산기, 전가감산기 설계 (자일링스)
    = 1 이 출력되는 시뮬레이션 화면이다. ※ 4비트감산기 4비트감산기는 상호 연결된 4개의 FA(전가산기)가 연결되어있고, c0값이 1이면 레지스터 b가 2의 보수형태로 바뀌어 ... 가감산기 - 1) 주 석 4비트감산기는 c0가 1일 때, b의 값이 보수값으로 바뀌어야 한다. ... 전가산기와 4비트 가산기, 4비트감산기를 만들 것이다. ※ 반가산기 반가산기는 2개의 입력 비트(a, b)를 취급하도록 설계되었고, 이는 합(sum)과 자리올림(carry)출력을
    리포트 | 16페이지 | 2,000원 | 등록일 2011.12.10
  • 파일확장자 2의 보수 연산을 이용한 4비트(bit) 감산
    감산기 및 감산기 테이블입니다.
    리포트 | 6페이지 | 1,000원 | 등록일 2008.01.06
  • 한글파일 vhdl을 이용한 4비트감산기 설계(논리회로설계실험)
    전가산기 설계 후 아래 그림과 같이 4개를 직렬로 연결하고, 모드입력 M에 따라 가산/감산 모드를 결정해야 한다. ... PurposeFull Adder 4개를 직렬로 연결하여 4-bit 감가산기를 설계한다. 설계를 통하여 감가산기 입력에 따른 출력 특성을 이해할 수 있다.2. ... Problem Statement4비트 감가산기를 설계하기 위해서 먼저 전가산기를 설계할 수 있어야 한다.
    리포트 | 9페이지 | 2,000원 | 등록일 2009.11.12
  • 파일확장자 예비보고서 // 2의보수와 4비트감산기, 플립플롭과 시프트레지스터
    실험목적2의 보수에 대한 이해를 바탕으로 binary 4-bit감산기를 이해한다binary 4-bit감산기를 구성하고 동작을 파악한다2. ... 실험이론논리회로에서 음수를 표현하는 세가지 방법 -> 부호절대값/ 1의 보수/ 2의 보수 가장쉽게 생각할 수 있는 방식으로서 msb를 무조건 부호비트로 사용하는 나머지는
    리포트 | 14페이지 | 1,000원 | 등록일 2010.11.16 | 수정일 2018.09.10
  • 파일확장자 진보영일기와 전가산기를 이용한 4-bit감산기 설계 제안서 및 설계 결과 보고서
    이 소자는 전가산기로서 Carry와 4bit의 BCD 력을 가지게 된다. ... 이 소자는 실제 진보영일기로서 C와 B의 제어입력과 4bit의 입력과 4bit의 출력을 가지게 된다.6. 7483 소자의 선정 - 전가산기인 7483의 실제 소자인 74LS83을 사용한다 ... 위해서는 진리표의 L값이 0인 부분을 사용하게 된다.4. 7483의 동작원리 - 전가산기는 3개의 입력 비트들의 합을 계산하는 조합회로로 전가산기는 3개의 입력과 2개의 출력으로 구성된다
    리포트 | 14페이지 | 2,000원 | 등록일 2012.07.17
  • 파워포인트파일 4-bit 전가산기(Full Adder)설계와 2의 보수를 이용한 감산기 설계
    관련 기술 및 이론 (1) 4 bit 전가산기(Full-Adder) 2진 병렬 가산기는 복수개의 비트들로 구성된 2진수 2개를 더해 결과를 출력하는 조합회로로, 그림과 같이 전가산기들을 ... 5주차 과제 4 bit 전가산기(Full-Adder)와 2의 보수를 이용한 감산기 설계 1. 설계 배경 및 목표 1. ... 관련 기술 및 이론 (3) 4bit감산기(Full-Subtractor) 4bit 전가산기와 유사하지만 입력값 B에 not을 취하고 처음 캐리에 1을 넣어준다는 점에서 다르다. 2진수의
    리포트 | 14페이지 | 1,500원 | 등록일 2010.06.24
  • 파일확장자 결과보고서 // 7.2의보수와 4비트감산기 8.플립플롭과 시프트레지스터
    결론 및 고찰이번 실험에서는 저번실험에서 구현했던 3bit 전가산기에서 더 나아가 감산까지 가능하도록 설계하는것이 목적이었다.우리조는 이번실험에서 너무 급하게 진행하느라 가산기를 1bit씩 ... 2진수의 입력을 보수화시켜 가산의 형태로 계산함을 알 수 있었고, 연산하는 두수의 부호가 같고 최상위 2비트 캐리가 다를 때 발생하는 overflow에 대해서도 이해하였다.직접 브레드보드에 ... 테스트해보지 않고 한꺼번에 구성했던탓에 어누부분에선가 잘못 연결된 부분이 있었는지 결국 실험을 통해 결과를 확인해 볼 수가 없었다.이론적으로 생각해보았을 때, 감산을 하는 원리는
    리포트 | 7페이지 | 1,000원 | 등록일 2010.11.17 | 수정일 2018.09.10
  • 파일확장자 논리회로) 2‘s Complement Numbers를 이용한 Signed 4-Bit 병렬 가/감산기 (Pro_VSM 시뮬, 진리표, 실험사진)
    실험 제목 : 2‘s Complement Numbers를 이용한 Signed 4-Bit 병렬 가/감산기2. ... 실험 목적 - 2‘s Complement Numbers를 이용한 Signed 4-Bit 병렬 가/감산기를 직접 설계한다.3. ... 실험 내용 Select Bit가 0일 때 가산기, 1일 때 감산기로 동작하는 가/감산기를 설계한다. 가/감산 연산은 2‘s Complement Numbers를 사용한다.
    리포트 | 1페이지 | 1,000원 | 등록일 2013.06.09
  • 한글파일 [정보통신실기] 4bit감산
    예비보고서 4bit- 2진 가감산기 덧셈 연산은 4개의 연산, 즉 0+0=1,0+1=1,1+0=0,1+1=10이 가능하다. ... 이는 가가의 덧셈기에서 출려된 캐리를 다음 단의 덧셈기의 입력 캐리에 연속적으로 덧셈기의 입력 캐리에 연속적으로 연결함으로써 덧셈기를 구현할 수 있다.그림 4는 4비트 2진식 리플 ... 예를 들어 2개의 2진수 A=1011과 B=0011이 있을때, 합 S=1110은 다음과 같은 4비트 덧셈기를 이용해서 구할 수 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2004.05.12
  • 한글파일 [회로이론] 4bit+CLG 가감산
    은 4개의 전가산기로 구성된 4비트 2진 병렬 가산기이다. { { { 하지만, 자리 올림수는 우측의 전가산기에서 가산 동작이 완료되었을 때 발생하므로 모든 비트가 동 시에 가산 동작을 ... bit의 입력을 합하여 그 합과 자리올림수를 발생하는 회로를 전가산기 라 한다. ... 왜냐하면 4비트로 양수와 음수를 표현하는 방법은 2의 보수 체계로 사용한다. 4비트 정수로 연산 가능한 수의 범위는 -8∼+7이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2003.11.03
  • 파워포인트파일 전가산기와 반가산기 ppt
    ) C out = YC in +XC in +XY 전가산기를 병렬로 연결한 n bit 계산기 S=A 3 A 2 A 1 A 0 +B 3 B 2 B 1 B 0 의 예시 (4bit) 전감산기 ... 조원 : Ch.3 반가산기와 전가산기 개요 1. 기본개념 배타적 or 게이트 반가산기와 전가산기 전가산기를 병렬로 연결해 n bit 계산 만들기 전감산기 2. ... 실험회로 구성 1bit 전가산기 1bit감산기 배타적 OR 게이트 입력이 같으면 `0`, 다르면 `1`의 출력이 나오는 소자 A B A xor B 0 0 0 0 1 1 1 0 1
    리포트 | 16페이지 | 4,000원 | 등록일 2019.09.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업