• 캠퍼스북
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(5,472)
  • 리포트(4,981)
  • 시험자료(311)
  • 방송통신대(100)
  • 자기소개서(40)
  • 논문(31)
  • 서식(7)
  • 이력서(1)
  • ppt테마(1)

"32bit" 검색결과 1-20 / 5,472건

  • 한글파일 시스템 프로그래밍 3장 연습문제 와 16비트32비트 차이점
    레지스터의 크기가 16비트일 때 데이터의 크기가 8비트라고 하더라도 1사이클에 읽어오게 되고 전송할 때도 1사이클이 필요로하다. 32비트의 데이터는 2사이클을 거쳐야하기 때문에 16비트의 ... 그렇다면 16 bit는 16차선을 이용해 동시에 16대의 차가 짐을 나르는 것과 같고, 32 bit 컴퓨터는 32차선을 이용하여 동시에 32대의 차가 집을 나르는 것과 같다. 16bit ... 과제)프로세서 16bit32bit 의 차이점을 설명하라 16bit32bit의 차이점 8 bit 컴퓨터란 2의 8제곱인 256까지의 숫자를 한 번에 다룰 수 있는 컴퓨터를
    리포트 | 2페이지 | 1,000원 | 등록일 2019.12.03
  • 파일확장자 32비트 메모리로 misalignment 접근 읽기 쓰기가 가능한 메모리
    "32비트 메모리로 misalignment 접근 읽기 쓰기가 가능한 메모리"에 대한 내용입니다.
    리포트 | 10,000원 | 등록일 2021.11.16
  • 한글파일 64비트 시스템은 한 번에 송신 32비트 수신 32 비트를 따로 처리 할 수 있다.다음 문항의 내용이 맞는 지 틀리는 지 구분하고, 그 이유를 작성하시오
    시스템프로그래밍 토론 주제 : 64비트 시스템은 한 번에 송신 32비트 수신 32 비트를 따로 처리 할 수 있다. ... 따라서, 한 번에 송신 32비트 수신 32비트를 따로 처리하는 것은 아니며, 한 번에 64비트를 처리할 수 있습니다. ... 따라서, 64비트 시스템은 한 번에 64비트를 처리할 수 있으며, 한 번에 송신 32비트 수신 32비트를 따로 처리하는 것은 불가능합니다. 64비트 시스템은 이론적으로 2^64 바이트
    리포트 | 1페이지 | 3,000원 | 등록일 2023.04.24
  • 파일확장자 도장 및 날인 이미지 제작 (한글/한자) 프로그램 입니다. (한자폰트 적용, 출력 이미지 : png 파일 32비트)
    그리고 이미지 저장을 누르고 우측 폴더 버튼을 누르면 지정된 폴더가 오픈되면서 Stamp.png (32 비트) 파일 출력됩니다. ... (png 32 비트) 대표이미지에서 보시다시피 설정에서 폰트, 글자크기, 글자두께, 도장유형을 설정하고 텍스트를 입력하고 적용버튼을 누르면 하단에 미리보기 화면에 출력됩니다 ... id=6812&irgwc=1&OCID=AID2000142_aff_7806_1246483&tduid=(ir__hwfx0z32bgkfrlkrkk0sohzx0m2xlw1xp9fov0nm00
    리포트 | 6,000원 | 등록일 2019.12.17 | 수정일 2019.12.31
  • 한글파일 32bit CLA whith 4bit blocks
    project 2 module ALU1(cin, a, b, s, cout); input [31:0] a, b; input cin; output [31:0] s; output cout; wire w0, w1, w2, w3, w4, w5, w6; cla4 cla4_0(.c..
    리포트 | 3페이지 | 1,000원 | 등록일 2013.10.29 | 수정일 2014.03.29
  • 워드파일 윈도우7 32비트 64비트 성능분석
    Photoworxx와 AES의 경우 32비트에 비해 64비트에서 32비트에 비해 각각 약 16%, 19%의 성능향상 대부분의 CPU연산이 64비트 운영체제에서 높게 측정되었다. ... CPU Queen과 CPU HASH Workloads에서 32비트가 높게 측정 되었다 Initial State 3 Photoworxx와 AES에서 뚜렷한 향상 64비트에서 32비트에 ... String sorting항목을 제외하곤 모두 비슷하거나 64비트가 조금 높게 나옴 1GB 상에서만 string sorting항목에서 32비트가 높음 Initial State 3 32비트
    리포트 | 27페이지 | 2,000원 | 등록일 2012.08.09
  • 파일확장자 인하대학교 디지털시스템설계 (verilog) 32bit ALU 설계
    1. 과제목적1. generate 구문의 사용법을 익힌다.2. ALU의 구조와 동작방식에 대해 알아본다.3. Hierarchical 구조 모델링을 익힌다8. 고찰처음 최상위 모듈을 작성할 때 Set값을 wire로 선언하지 않고 시뮬레이션을 실시하였다. 코드상 에러는 발..
    리포트 | 12페이지 | 2,000원 | 등록일 2017.01.06 | 수정일 2018.03.24
  • 파일확장자 32비트 가감산기 SystemVerilog 소스 코드
    package my_type ; typedef enum bit { add=1'b0, sub=1'b1} op_e ;endpackageimport my_type::* ;module addsub32 ... [31:0] a, b; input bit cin; input op_e op; bit [31:0] difference ; bit [31:0] summation ; ... wire [31:0] #1 not_b = ~b; // transport delay 1 time unit (measns 1ns) wire #1 not_cin = ~cin; addsub32
    리포트 | 2,000원 | 등록일 2013.03.18
  • 한글파일 32비트 ALU Verilog설계
    ALU회로도 3.구현 내용 구분 이름 비트수 설명 Input a 32bit operand b 32bit operand op 3bit opcode output result 32bit ... cla32 32bit cla instance not_a0 32bit not a instance not_b0 32bit not b instance and0 32bit a and b ... instance or0 32bit a or b instance xor0 32bit a xor b instance xnor0 32bit a xnor b instance mux8 8 to
    리포트 | 34페이지 | 1,500원 | 등록일 2010.12.21
  • 파일확장자 프로세스 관리 프로그램(win7 32bit OS)
    win7 32bit환경의 프로세스 관리 프로그램입니다. ... window7 32bit OS환경에서 실행하시길 권장하며 다른 환경에서는 일부 기능이 정상작동 하지 않을 수 있습니다.
    리포트 | 5,000원 | 등록일 2013.07.13
  • 한글파일 verilog, 베릴로그, 베릴로그로 짠 32bit ALU
    jr $ra 0 31 0 0 0 8 _32bits_ALU ->overflow검출기 ->1bit_ALU 32개 ->2-to-1 멀티플렉서 ->Full_Adder ->Half_Adder ... 2개 ->8-to-1 멀티플렉서 ->Shift_left 모듈 ◎one_bit_ALU Code capture ◎_32bits_ALU Code capture ... (signed decimal로 출력)
    리포트 | 8페이지 | 1,000원 | 등록일 2011.10.13
  • 파일확장자 항공대 컴퓨터구조 최종 보고서 MIPS 16bit32bit로 확장 설계
    때 교육목적의 칩 또한 32비트의 이해를 바탕으로 하여야 한다는 인식 아래 16비트 MIPS를 32비트로 바꿈으로써 차이점을 분석하고 칩 이해의 폭을 넓히는데 목적이 있다.3. ... 내용 요약16비트 MIPS 칩을 변경하여 32비트 MIPS 칩을 설계하고 결과 확인 및 테스트를 통한검증을 실험한다.2. ... 연구 동기16비트 칩이 가지고 있는 구조적인 한계를 극복하고 성능의 향상을 위해 실행되는 비트수의 확장이 필요함을 인지하게 되었다.또한 현재 상용되고 있는 칩이 32비트임을 가만할
    리포트 | 12페이지 | 3,000원 | 등록일 2012.11.03
  • 파일확장자 부동 소수점 곱셈기 논리 회로 설계(32비트)
    리포트 | 3,000원 | 등록일 2013.07.21
  • 한글파일 C언어를 이용한 32bit multiplier 설계(디지털설계)
    입력되는 32bit 숫자는 int형으로 num1, num2라는 변수를 이용하였고 결과값도 printf가 32bit까지만 지원하기 때문에 32bit로 제한하였습니다. ... 뒤에서부터 3bit씩만 남기기 위하여 제일먼저 16진수 0x07와 and시키면 하위 3비트만 남게 됩니다. ... 그 전에 최하위비트 이전에 ‘0’을 추가해주어야 하는데 저는 num2를
    리포트 | 6페이지 | 1,000원 | 등록일 2012.03.01
  • 워드파일 32비트(int) 만으로 64비트의 곱셈 실행
    “); scanf (“%d %d”, &x, &y); mult32bits (x, y, &xyh, &xyl); /* The following outputs should be same * ... . } #include void mult32bits (int x, int y, int *xyh, int *xyl){ int sign_check ... 핵심 아이디어 32비트인 정수를 16비트씩으로 쪼개서 연산을 한다. 정수 x의 높은 자리와 낮은 자리, 정수 y의 높은 자리와 낮은 자리로 나눈다.
    리포트 | 6페이지 | 2,000원 | 등록일 2009.04.26
  • 한글파일 32bit instruction simulator 구현 (코드포함)
    제목 : 32bit instruction simulator 구현 2. ... 구현하여 32bit instrution이 RTL 구조를 통하여 어떻게 실행되는지를 관찰 후 이론과 비교하여 결과를 도출한다. 3. ... 목적 : 32bit instruction simulator 구현하고 dataflow를 관찰한다. - 수업시간을 통해 학습한 RTL 구조를 이해하고, 관련 지식을 바탕으로 C언어로 simulation을
    리포트 | 21페이지 | 5,000원 | 등록일 2010.10.26 | 수정일 2024.01.04
  • 한글파일 디지털 회로 설계 32 bit carry lookahead adder vhdl quartus 설계 code 포함
    Title : Quartus2를 통한 32-bit CLA(Carry-Lookahead Adder)의 설계와 구현. 2. ... 각각의 full adder는 3개의 logic gate를 필요로 한다. 32 비트의 ripple carry adder에서는, 32개의 full adder들이 있다. ... Digital Logic Design Project #1 Title: Design and Synthesis of 32-bit CLA(Carry-Lookahead Adder) 1.
    리포트 | 10페이지 | 2,000원 | 등록일 2014.12.15 | 수정일 2021.07.26
  • 한글파일 Structural/Behavioral 32-bit Adder
    3. 결론 동작적 표현(Behavioral Representation)은 설계하고자 하는 하드웨어의 구조와는 관계없이 시스템의 동작을 알고리즘 레벨에서 C프로그래밍과 같이 표현하는 것을 말한다. VHDL의 동작적 표현을 위한 가장 일반적인 구문으로서 사용되는 것이 프로..
    리포트 | 10페이지 | 1,500원 | 등록일 2008.05.04 | 수정일 2019.04.10
  • 한글파일 32 bit CRC 에러 체크 코드입니다.
    입력 32bit ASCII 입력 출력 CRC 입력 수신 출력 ERROR 유무. ... 아닌경우는 최상위 비트와 이전비트를 EX-OR한다 //(최상위 비트*해당 디비전 비트)와 이전비트를 EX-OR한다 } } printf("Dataword:\n"); //Dataword출력 ... 아닌경우는 최상위 비트와 이전비트를 EX-OR한다 //(최상위 비트*해당 디비전 비트)와 이전비트를 EX-OR한다 } } } void edetec(int erate,int division
    리포트 | 5페이지 | 1,000원 | 등록일 2009.04.28
  • 한글파일 32-bit single-cycle MIPS microprocessor design
    of the shifted value to make 32-bit target address. ... Design Requirements 32-Bit Single-Cycle MIPS Microprocessor Design The MIPS processor to be implemented ... It filled with a 6-bit opcode, 5-bits rs, rt, and a 16-bit of offset code.
    리포트 | 9페이지 | 1,000원 | 등록일 2010.11.11
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업