• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 위잇 도시락 이벤트
  • 통합검색(5,044)
  • 리포트(4,384)
  • 시험자료(358)
  • 방송통신대(172)
  • 자기소개서(95)
  • 논문(21)
  • 서식(9)
  • ppt테마(2)
  • 노하우(2)
  • 이력서(1)

"코드 변환기" 검색결과 1-20 / 5,044건

  • 파일확장자 모스부호 변환기(파이썬 코드)
    "모스부호 변환기(파이썬 코드)"에 대한 내용입니다. 코드에 대한 설명은 매 줄마다 주석처리 하였습니다! 사용자 원하는 문장을 입력하면 그것을 모스부호로 바꿔주는 변환기 입니다!
    리포트 | 1,000원 | 등록일 2019.07.29 | 수정일 2019.08.13
  • 한글파일 인코더디코더 코드변환기
    토의 이론값만 가지고 BCD to Gray코드변환기의 결과 값을 추측해 보자면 BCD to Gray 코드변환기는 이름 그대로 BCD코드의 입력을 Gray 코드의 출력으로 바꾸어주는 ... BCD코드에서 3코드를 더하여 보수연산을 빠르게 하기 위해서 만든 것이 Excess-3코드이다 BCD to Gray 코드변환기 결과 이 회로는 구성하는데 시간이 오래 걸렸다. ... 입 력 출 력 A B C A' B' C' 0 0 0 0 0 0 0 0 1 0 0 1 0 1 0 0 1 1 0 1 1 0 1 0 1 0 0 1 1 0 1 0 1 1 1 1 1 1 0
    리포트 | 3페이지 | 2,000원 | 등록일 2019.06.25
  • 한글파일 <디지털회로실험>인코더,디코더와 코드변환기
    있는 코드변환기 회로의 동작 원리와 구조를 이해하고 구성한다. 2. ... 토의 이번에 진행한 5주차 실험은 인코더(Encoder)와 디코더(Decoder)의 동작원리, BCD to Excess-3 코드변환기와 BCD to Gray 코드변환기에 대해 이해하고 ... 이와 같은 동작을 반복하며 BCD to gray 코드변환기는 작동한다.
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • 한글파일 코드변환기
    대신에 아날로그-디지털 변환기나 입출력 장치 코드로 주로 쓰인다. ... 목 차 패리티(parity) 발생기 / 검출기 ························ 1 2진 코드 - 그레이 코드(5bit) ·························· ... ··· 7 패리티(parity) 발생기 / 검출기(5비트) ① 패리티(parity) 비트의 정의 오류 검출 코드로 가장 간단히 사용되고 있는 코드로써, 보통 컴퓨터의 내부에서 사용되며
    리포트 | 8페이지 | 2,000원 | 등록일 2009.05.21
  • 한글파일 코드변환기
    위한 회로도 2. 2진 코드-그레이 코드변환기 1)2진 코드-그레이 코드변환기 -연속된 두 코드 중 오직 한 비트만 변화 하는 것 -연속적인 변화량을 디지털 정보로 변환하는 경우에 ... 그레이-2진 코드 코드변환기 1)출력 함수식 간력화(카르노도 이용) 2)회로도 작성 그레이코드의 2진 변환회로 ... 1.BCD-3 초과 코드변환 1)BCD-3 초과 코드변환 - BCD 가산기+감산기회로 - 자기보수코드 - BCD코드에 2진수의 3을 더함 - BCD코드 + 0011 ▶ 3초과 코드
    리포트 | 6페이지 | 1,000원 | 등록일 2009.06.16
  • 워드파일 전가산기 겸 전감산기, 2의 보수 로직, 16진수-BCD코드 변환, 16진수-ASCII코드, 블록문이 있는 네스티드 if문 설계
    마지막 비트에 1을 추가하여 간단한 2의 보수를 구현해 보았다. 16진수를 BCD코드변환하는 것은 A,B,C,D,E,F와 같은 16진수를 0~9까지는 그대로 출력하고 A~F는 ... 통신회로 및 실습 과제 [4] 전가산기 겸 전감산기, 2의 보수 로직, 16진수-BCD코드 변환, 16진수-ASCII코드, 블록문이 있는 네스티드 if문 설계 정보통신공학과 2010160101 ... 변환기 설계> 1.
    리포트 | 8페이지 | 3,000원 | 등록일 2014.07.11
  • 한글파일 [논리회로] 코드변환변환기
    후 여기에 가산기를 써서 Excess-3로 변환하면 됩니다. ... 그러므로 Gray Code를 Binary Code변환한 값을 각각 W', X', Y', Z'라 하면... ... 0 0 1 1 0 1 1 1 1 0 1 1 1 1 ※Excess-3 Code는 Binary Code에 3(0011)을 더한 것이므로, Gray Code를 Binary Code변환
    리포트 | 4페이지 | 1,000원 | 등록일 2003.08.14
  • 한글파일 vhdl를 이용한 BCD-TO-EXCESS3 코드변환기(조합회로)
    1. specification BCD-TO-EXCESS3 코드변환기는 2진수로 표현된 10진수에 3을 더하는 것이다. ... EXCESS-3 출력 1011 BCD 입력 1001, EXCESS-3 출력 1100 ※ 보드 구현 설명 FPGA보드 구현시 LED를 이용하여 BCD 입력을 표 현하였고, 세그먼트 표시기 ... 예를 들면 10진수 0 즉 BCD 0000에 대한 EXCESS3 코드는 10진수 표현시 0+3=3이고 BCD 표현시 0000+0011=0011을 나타낸다.
    리포트 | 9페이지 | 2,000원 | 등록일 2010.06.11
  • 한글파일 vhdl를 이용한 bcd to excess-3 코드 변환기 설계(순차회로)
    Specification 2진수로 표현된 10진수인 BCD에 3을 더하는 Bcd_to_Excess3 코드변환기의 설계를 실시한다. ... 'b000; 47 bcd_code ... [5] Sse[4] seg[3] seg[2] seg[1] seg[0] Bcd to Excess-3 Code conveter excess3_code (Z) Seguences in order
    리포트 | 11페이지 | 2,000원 | 등록일 2010.06.11
  • 한글파일 [논리회로] 코드변환기
    예를 들어, BCD 코드를 Excess-3 코드변환기를 4개의 Flip Flop을 사용하여 설계하면 다음과 같다. ... BCD to Excess-3 코드 변환기의 상태표 및 여기표 A B C D A' B' C' D' JA KA JB KB JC KC JD KD 0 0 0 0 0 0 1 1 0 × 0 × ... BCD to Excess-3 코드 변환기】 【PSpice 파형】 ※ clock 주기 10ms clock 주기를 10ms로 한 결과 초기 값인 0011은 제대로 나왔으나 그 다음 값인
    리포트 | 5페이지 | 1,000원 | 등록일 2003.08.14
  • 파일확장자 [쿼터스포함]2진코드-그레이코드 상호변환 회로, 8비트 해밍코드 생성과 에러검출기
    서론 (그레이 코드와 해밍 코드) 1) 그레이 코드 - 그레이 코드는 연속되는 코드들 간에 한 비트만 변하여 새로운 코드가 되는 코드로써, 아날로그-디지털 변환기나 입출력장치 코드로 ... ..FILE:결과.hwp 디지털 논리회로 및 실습 결과보고서 : 1) 2진코드와 그레이코드 상호변환 회로 2) 8비트 입력 해밍코드 생성과 에러검출기 1. ... 연속적인 코드에서 단 한 비트만 달라지기 때문에 오차의 비율을 줄일 수 있다. 2진 코드 ↔ 그레이 코드 상호 변환 방법 2진 코드를 그레이 코드변환할 때에는 최상위비트는 그대로
    리포트 | 6페이지 | 2,000원 | 등록일 2011.01.05 | 수정일 2020.01.29
  • 한글파일 Hexademical to ASC∥ 코드 변환기
    Hexademical to ASC∥ 코드 변환기의 부울식 /A0 = A*B+A*C /A4 = /A*B+B*D+B*C /A1 = /A+/B*/C /A5 = C*D+/A*C+A*B*/C ... 기술된 부울식을 이용하여 게이트로 구현하시오 고 찰 ● 코드 변환기에 대한 기본 이론에 대해서 알 수 있었다. ● 코드 변환기의 진리표를 보고 코드변환되는 원리에 대해서 파악할 ... 제18장 Hexadecimal to ASC∥ 코드 변환기(예비) ○ 목적 ● Hexadecimal to ASC∥ 코드 변환기의 기능을 이해하고 PLD를 이용한 회로구현 및 시뮬레이션을
    리포트 | 4페이지 | 1,000원 | 등록일 2003.05.09
  • 파일확장자 [디지털 시스템 설계] 코드변환기 (BCD-> gray, BCD-> excess-3 code)
    코드 변환기를 제작하기 위해 BCD와 Excess-3 code와의 관계, BCD와 Gray code와의 관계를 알아보아야 한다. ... 비가중치 코드로 연산 코드로는 부적합하며 입출력 장치, A/D변환기 등에 유용하다. 10진 Index BCD code Excess-3 code Gray code 0 0000 0011 ... Introduction 1) 코드 변환기 : 입력되는 Data는 BCD code이고 두 개의 선택 스위치 A, B가 있다.
    리포트 | 8페이지 | 1,000원 | 등록일 2004.12.18
  • 한글파일 [논리회로] ASCII 코드 변환기
    이와 같이 서로 다른 형식의 코드를 두 시스템간에 송수신을 하기 위해 자동으로 코드변환시켜주는 회로가 필요한데 이를 코드 변환기라 한다. ... 진리표 Input Hex digit ASCII code W X Y Z A6 A5 A4 A3 A2 A1 A0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 1 1 0 1 1 0 ... 코드가 사용되고 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2002.03.26
  • 한글파일 [논리회로] Hexadecimal to ASCII 코드 변환기
    Converter ※BCD code를 2진수로 변환하기 위해서는 BCD의 자릿값에 의해 2진수를 합해야 한다. ... Hexadecimal to ASCII 코드 변환기 【기본이론】 코드에는 수치데이터 코드와 문자코드가 있다. ... 수치 데이터 코드에는 2진 코드, 그레코드. 3초과 코드, 해밍 코드가 있다. 각각의 변환기는 다음과 같다.
    리포트 | 9페이지 | 1,000원 | 등록일 2003.08.14
  • 한글파일 [논리회로 ] Hexadecimal to ASCll 코드 변환기
    Hexadecimal to ASCll 코드 변환기 1. ... Hexadecimal to ASCll 코드 변환기의 타 ... r t 이번 실험에서는 4비트로 구성되어 있는 16진수를 ASCll 코드변환하는 변환기를 설계한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2002.12.21
  • 한글파일 코드변환 설계
    이론으로 각종 코드 변환기의 동작 특성을 확인한다. 3. ... 실험 제목 ① 2진 코드를 그레이 코드변환 ② 그레이 코드를 2진 코드변환 ③ BCD 코드를 2421 코드변환 ④ BCD 코드를 3초과 코드변환 2. ... 실험 목적 2진 코드를 그레이 코드로, 그레이 코드를 2진 코드변환하는 회로의 구조와 동작 원리를 이해하고 BCD 코드를 2421 코드로, 3초과 코드변환하는 회로의 구조와
    리포트 | 19페이지 | 1,500원 | 등록일 2020.11.16 | 수정일 2022.04.23
  • 한글파일 [atmega128]마이크로프로세서 ADC결과보고서
    변환 결과 레지스터의 데이터 저장 형식을 지정하는 기능을 수행 -ADCSRA(ADC control and status register A) - A/D변환기의 여러 가지 동작들을 설정하거나 ... 첫 번째 코드 변환이 될 때 까지는 그 값이 0으로 나타난다. ... 코드는 0000~1111인 16개의 코드를 부여할 수 있다.
    리포트 | 8페이지 | 1,500원 | 등록일 2020.01.01 | 수정일 2021.02.22
  • 한글파일 전자공학응용실험 - 아날로그-디지털 변환기 예비레포트
    아날로그 -디지털 변환기에서 디지털 코드가 유지될 때의 아날로그 전압의 폭, 즉 코드 폭이 이상적인 1LSB로부터 벗어난 정도를 DNL이라고 한다. ... 입력 전압과 출력 코드를 측정하여 [표 28-2]에 기록하고, [그림 28-15]에 아날로그-디지털 변환기의 입력-출력 특성 곡선을 그리 시오. ... [그림 28-4]는 2비트 아날로그-디지털 변환기의 전달 특성을 보여준다. 일반적으로 아날로그 신호는 값이 연속적이지만, 디지털 코드는 값이 양자화된다.
    리포트 | 9페이지 | 2,500원 | 등록일 2021.12.20
  • 워드파일 SoC 보고서 - 3.레지스터맵
    2-C를 변환하여 임시 공간에 저장. ... 하이퍼 터미널은 아스키코드 기반으로 데이터를 바꿔준다. 앞서서 아스키코드로 받은 값을2진 벡터로 변환했으므로 이것의 반대 동작을 할 필요가 있다. ... 예를 들어서 증폭기를 설계한다고 했을 때 단순히 2배 혹은 10배만 증폭되는 것이 아니라 사용자가 소프트웨어적으로 조절하면 증폭도를 가변할 수 있는 것을 만들어야 하는 것이다.
    리포트 | 53페이지 | 2,500원 | 등록일 2021.09.23
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업