• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,641)
  • 리포트(2,433)
  • 자기소개서(86)
  • 시험자료(79)
  • 방송통신대(23)
  • 논문(14)
  • 서식(5)
  • 이력서(1)

"카운터 설계" 검색결과 1-20 / 2,641건

  • 파일확장자 11진 카운터 설계
    설계 과정 - 설계 과정은 사진으로 첨부하였습니다.
    리포트 | 2페이지 | 3,000원 | 등록일 2022.09.11
  • 워드파일 업다운 카운터 verilog 설계
    제목 동기식 BCD 카운터 설계 실습 목적 동기식 카운터는 순차논리회로에서 예제로 가장 많이 사용된다. ... BCD 카운터는 0에서 9까지 카운트하므로 앞에서 설계한 Up_down 카운터와 마찬가지로 10개의 상태를 정의하고, 클럭의 상승 에지에서 1씩 증가하도록 한다. ... 일상샐활에서는 10진수를 주로 사용하므로, 이 실습에서는 10진수를 2진수로 표현하는 BCD 카운터설계한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • 워드파일 비동기 카운터, 동기 카운터 설계 예비레포트
    비동기 카운터, 동기 카운터 설계 예비레포트 1. 실험 제목 1) 비동기 카운터 2) 동기 카운터 설계 2. ... ) 2) 동기 카운터 설계 - 임의 시퀀스의 16-상태 동기 카운터 설계 - 카운터의 구성 및 검사 그리고 카운터의 상태 다이어그램 작성 3. ... 실험 목적 1) 비동기 카운터 - 비동기 업-카운터와 다운-카운터설계 및 분석 - 카운터의 모듈러스(modulus) 변환 - IC 카운터 사용과 카운트 시퀀스 절단(truncation
    리포트 | 9페이지 | 1,000원 | 등록일 2022.10.09
  • 워드파일 비동기 카운터, 동기 카운터 설계 결과레포트
    비동기 카운터, 동기 카운터 설계 결과레포트 1. 실험 제목 1) 비동기 카운터 2) 동기 카운터 설계 2. ... 설계 동기 카운터 설계 실험에서는 예비레포트를 작성할 때 만들었던 6-mod Gray code synchronous counter를 회로로 구현해 작동을 확인하였다. ... 실험 결과 1) 비동기 카운터 (1) QA vs QB (2) QA vs QC (3) QA vs QD - 결과를 바탕으로 완성된 그래프 2) 동기 카운터 설계 (1) QA vs QB
    리포트 | 4페이지 | 1,000원 | 등록일 2022.10.09
  • 워드파일 동기 카운터 설계 결과레포트
    기초회로실험2 결과레포트 실험제목 동기 카운터 설계 학 과 학 번 성 명 실험 조 지도교수 1. ... 결과표 동기 카운터 설계 회로사진 → 와 클락 파형 비교 → 와 클락 파형 비교 → 와 클락 파형 비교 2. ... 고찰 동기카운터설계해서 위에 그림과 같이 나오게 회로를 구상하고 클락과 파형을 비교해 보았다. 오실로스코프 프로브가 불안정해서 파형이 찌그러지게 나왔다.
    리포트 | 4페이지 | 1,500원 | 등록일 2021.12.19
  • 한글파일 논리회로실험 카운터 설계
    논리회로설계 실험 예비보고서 #7 실험 7. 카운터 설계 1. ... 플립플롭을 동시에 동작시킨다. - 순차 논리회로의 설계에 따라 설계할 수 있다. - 카운터의 동작 시 전파지연시간이 없다. - 아래의 그림은 4비트 mod - 16 리플 카운터의 ... 설계를 해본다. 2.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 워드파일 [예비보고서] 11.카운터 설계
    예비 보고서 설계실습 11. 카운터 설계 11-3. ... 이번에 설계할 8 진 비동기 카운터는 여기에서 하나의 Flip Flop을 더하여 설계한다. ... 설계 8진 비동기 카운터의 회로도를 그린다.
    리포트 | 3페이지 | 1,000원 | 등록일 2023.01.03
  • 한글파일 디지털공학 32진 카운터 설계
    이로서 0부터 31까지 카운트되는 32진 카운터설계되었다. ... 학번 이름 분반 조 실험 제목 32진 카운터 설계 사용 부품 7-segment 2개, 저항 330Ω 2개, 7490 2개, 7447 2개, 7408 1개 회로도 실제회로 동작사진 동작원리 ... 이로서 일의자리가 9가 된 후 십의 자리가 1씩 카운트 된다. 32진 카운터이므로 7-segment에 31이 출력된 후 리셋 시키기 위해 십의 자리를 나타내는 두 번째 7-segment에
    리포트 | 3페이지 | 1,000원 | 등록일 2020.12.21 | 수정일 2022.09.22
  • 한글파일 실습 11. 카운터 설계 예비보고서
    카운터 설계 11-1. ... 실습목적 JK Flip Flop을 이용한 동기식, 비동기식 카운터설계해 보고 리셋 기능을 이용하여 임의의 진수의 카운터를 제작할 수 있는 능력을 배양한다. ... 표시되는 숫자가 BA의 출력 00 → 01 → 10 → 11 → 00 → 변화를 반복 하는 4진 카운터로 동작함을 알 수 있다. 11-3-2 8진 비동기 카운터 설계 8진 비동기 카운터
    리포트 | 4페이지 | 2,000원 | 등록일 2022.09.19
  • 한글파일 디시설 - ‘1’ 개수 카운터 Leading one 카운터 설계
    결과 보고서 ( ‘1’ 개수 카운터 / Leading one 카운터 설계 ) 제목 ‘1’ 개수 카운터 / Leading one 카운터 설계 실습 목적 이 실습에서는 입력되는 이진 벡터에서 ... 실험 결과 및 고찰 이번 실험에서는 ‘1’개수 카운터와 Leading one 카운터설계해보았다. ... 우선 ‘1’개수 카운터는 kit를 사용하지 않고 vmf 시뮬레이션으로 확인하였다. ‘1’개수 카운터의 for ~ loop 문에서 범위지정을 0 to 7이라고 작성을 해야하는데, 7
    리포트 | 6페이지 | 1,000원 | 등록일 2019.07.20
  • 파일확장자 A+ 결과보고서_카운터 설계
    리포트 | 4페이지 | 1,000원 | 등록일 2022.03.27
  • 한글파일 디지털 시스템 설계 및 실습 업다운 카운터 설계 verilog
    BCD카운터는 0에서 9까지 카운트 하므로 앞에서 설계한 UP-Down 카운터와 마찬가지로 10개의 상태를 정의하고, 클럭의 상승 에지에서 1씩 증가하도록 한다. ... 일상생활에서는 10진수를 주로 사용하므로, 이 실습에서는 10진수를 2진수로 표현하는 BCD 카운터설계한다. ... 실습목적 동기식 카운터는 순차논리회로에서 예제로 가장 많이 사용된다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.03.24
  • 한글파일 Leading one 카운터 설계 결과보고서
    설계하였다. ... 앞에 나온 ‘1’개수만 카운터하므로 for loop를 이용하여 중간에 ‘0’이 있으면 카운트 동작을 멈추고 값을 출력하게 하였다 ... i+1; end if; count := count +1; end loop;고찰 이 실습에서는 이진벡터에서 앞에 나온 ‘1’의 개수를 카운트하는 Leading one카운터
    리포트 | 3페이지 | 1,000원 | 등록일 2021.04.16
  • 워드파일 아날로그 및 디지털회로설계실습 11 카운터 설계 과제
    카운터 설계 과제 RS-Latch를 이용한 Chattering 방지 회로를 설계하고 원리를 설명하시오. ... (ORcad에 시간에 따른 스위치만 소자로 있어 저렇게 설계했습니다.)
    리포트 | 1페이지 | 1,000원 | 등록일 2021.09.02
  • 한글파일 디지털공학실험 동기카운터설계 예비리포트
    동기 카운터설계하기 위해서는 우선 상태 다이어그램을 이용해서 진리표를 작성하여야 한다. ... 동기 카운터 설계 관련이론 동기 카운터는 모든 플립플롭이 같은 클럭 펄스를 받아 그것을 기준 클럭으로 사용하여, 모든 소자가 동시에 트리거 되며 변하는 카운터 회로를 말한다. ... 아래 그림은 3비트 카운터의 상태 다이어그램 예시이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.04.19
  • 파일확장자 A+ 아날로그및디지털회로설계 실습 예보_카운터 설계
    리포트 | 4페이지 | 1,000원 | 등록일 2022.03.27
  • 워드파일 아날로그 및 디지털회로설계실습 11 카운터 설계 예비 리포트
    이번 보고서에서는 이런 카운터들을 설계하고 주파수를 알아보고 reset회로를 설계하고 연결해 16진 카운터로 10진 카운터를 만드는 등 카운터에 대해 학습했다. ... 회로도를 설계했다. 4진 비동기 카운터설계할 때는 1MHz의 구형파를 인가할 때 Q1신호와 Q2신호의 주파수를 알아보았고 8진 비동기 카운터설계할 때는 스위치를 연결하고 스위치를 ... 동기 카운터 회로도를 설계하고 파형을 확인해 보았다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.09.02
  • 한글파일 16진 동기 및 비동기 카운터 설계
    고찰 이번 설계의 목적은 비동기 및 동기식 카운터의 구조와 동작원리를 이해하여 16진 동기 및 비동기 카운터설계하는 것이었습니다. ... 설계 과정 비동기 및 동기식 카운터의 구조와 동작원리를 이해하여 16진 동기 및 비동기 카운터설계한다. 1) SN7400 및 SN7476, SN7490의 회로도를 구현한다. 2) ... 비동기식 카운터와 동기식 카운터의 가장 큰 차이점은 클럭을 인가하는 플립플롭의 개수입니다. 이번 설계에서는 비동기식/동기식 Up 카운터설계해봄으로써 이를 비교해보았습니다.
    리포트 | 3페이지 | 1,500원 | 등록일 2020.10.10
  • 워드파일 10, 16진 카운터 설계 vhdl 5-6-7카운터 포함
    코드 및 설명 출력 결과 2~13 반복 12진 카운터 이론적 설명 이번엔 값이 2~13으로 반복되는 12진 카운터설계 해본다. ... 코드 및 설명 출력 결과 5-6-7 반복 3진 카운터 이론적 설명 이번 카운터는 값이 5,6,7만 출력되고 다시 5-6-7-5-6-7-5-6-7-… 으로 반복되는 카운터설계 해본다 ... 코드 및 설명 출력 결과 16진 카운터 (출력 값 0~15) 이론적 설명 카운터란 특정한 현상이 발생 했을 때, 그 현상의 발생 횟수를 셈해주는 회로로서 이번 설계 실습에선 다양한
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.05
  • 워드파일 아날로그 및 디지털 회로 설계 실습 결과보고서11 카운터 설계
    비동기 및 동기 16진 카운터 설계 (B) 설계실습 계획서를 참고하여 16진 동기 카운터를 결선한다. 16진 동기 카운터를 위와 같이 설계하였다. ... 설계실습 방법 비동기 8진 카운터 설계 (D) 버튼을 한 번씩 눌러 가면서 카운터가 정상적으로 동작하는 지 확인, 그 결과를 제출한다. ( Chattering 방지 회로 추가하여 설계한 ... 아날로그 및 디지털 회로 설계 실습 -실습11. 카운터 설계- 학 과 : 담당 교수님 : 제출일 : 조 : 학번 / 이름 : 11-4.
    리포트 | 11페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업