• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,002)
  • 리포트(902)
  • 자기소개서(55)
  • 시험자료(20)
  • 방송통신대(17)
  • 논문(4)
  • 서식(2)
  • 표지/속지(1)
  • ppt테마(1)

"자판기설계" 검색결과 1-20 / 1,002건

  • 파일확장자 한기대 디지틀시스템 설계 및 실습 과제4 동전자판기(보고서 및 소스 포함)
    목적 : 우리 차 동전자판기를 ASM (혹은 Data Path + FSM)을 사용하여 설계하고 실습장비에 그 동작을 확인한다. 2. 동작 : 1).
    시험자료 | 2페이지 | 6,000원 | 등록일 2020.11.04 | 수정일 2020.11.07
  • 파일확장자 자판기의 인간공학적 설계
    한국산업경영시스템학회 산업경영시스템학회지 권영국
    논문 | 9페이지 | 4,000원 | 등록일 2017.01.04 | 수정일 2023.04.05
  • 파일확장자 자판기 베릴로그코드 테스트벤치(testbench) 포함 / Vending machine / Verilog code / 베릴로그코드 설명 결과보고서 포함 / ASIC 설계 / 논리회로 / 디지털 설계
    가격이 1000원 및 1500원인 콜라를 판매하는 자판기를 각각 Verilog code로 구현하였습니다. ... 코드파일(.v)과 머신에 대한 설명 및 시뮬레이션 결과에 대한 파일(.docx)이 포함되어 있습니다. 1500원 콜라 자판기의 경우 모델심 시뮬레이션에 필요한 testbench 코드
    리포트 | 3페이지 | 2,500원 | 등록일 2020.10.17 | 수정일 2020.10.22
  • 파일확장자 설계 프로그래밍 (자판기)
    c++ 설계 자판기 ui 추가된 것 실행 프로그램 소스 간단한 설명
    리포트 | 5페이지 | 1,000원 | 등록일 2019.03.29 | 수정일 2019.10.07
  • 파일확장자 [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 7주차 예비+결과(코드포함) 자판기 Sequential_Logic_Design_II FSM and Clocked_Counter
    I.INTRODUCTION본 보고서는 예비보고서에서 조사한 State machine 정보와 여러 예외사항을 반영하여 작성한 State diagram을 기반으로 설계한 Vending ... machine의 code 및 시뮬레이션 결과를 확인 및 검증에 관한 보고서이다.II.Vending machine 설계 code 및 Simulation 결과A.CodeA Part에서는
    리포트 | 3페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 한글파일 자판설계
    본론 (1) 설계내용 (2) 여기표 작성 (3) 논리식 작성 (4) 회로도 (5) Bread Board 구성 (6) 자판기 제작 3. 결론 자판설계 1. ... 결론 이번 설계는 50원과 100원을 투입하여 150원이 되면 커피가 출력 되는 자판기 회로를 구현하는 것이다. ... 참고로 설계하고자 하는 자판기는 150원 이상이 입력되면 그 순간 커피가 자동으로 출력되도록 규격을 정하였으므로 150원 이상이 들어온 상태를 기억할 필요가 없다. ?
    리포트 | 11페이지 | 1,500원 | 등록일 2012.03.07
  • 파일확장자 verilog이용한 자판기설계 코드
    verilog를 이용하여 구조적 vending machine 설계 입력부 중간부 출력부로 구성되어 있으며 각 구조마다 test bench로 module별 test 하나의 완전한
    리포트 | 2,000원 | 등록일 2015.11.26 | 수정일 2015.12.04
  • 파일확장자 자판설계
    설계 목적 / 용도논리회로 내용 및 실습 내용을 기반으로 생활 속에서 활용될 수 있는 제품(자판기 회로)을 설계 ․ 제작한다.2.
    리포트 | 12페이지 | 3,000원 | 등록일 2011.03.29
  • 한글파일 [논리회로설계실험]커피자판기설계
    커피자판기(FSM 설계) 1. 실험 내용 1) 다음 상태도와 동작에 따라 커피자판기를 설계하시오. ① 상태도 ② 동작 설명 ? ... 이는 입력 신호의 주기를 조절해서 순차적으로 동전의 투입, 자판기 버튼의 입력, 커피 제공의 신호들이 상승클럭 엣지에서 1을 갖게 만들어 줌으로서 해결 할 수 있었다. ... ns; in_coffee_serve
    리포트 | 5페이지 | 1,500원 | 등록일 2015.07.07
  • 파워포인트파일 자판기설계원리 모듈별 코드분석.
    컴퓨터 구조 설계 최종 발표 목 차 설계목표와 동작원리 주요 모듈 - 입력부 - 계산부 - 출력부 테스트 설계목표와 동작원리 설계 목표 및 규격 목표 : 자판설계 물품의 개수를 ... 자판기 test bench 자판기 (simulation) 1 . ... Booth 곱셈기 알고리즘을 이용하여 구현 자판설계에서 12bit 의 물건 가격과 4bit 의 물건 수량을 입력 받아 두 데이터의 곱인 총 물건 금액을 12bit 로 출력하는데
    리포트 | 37페이지 | 1,500원 | 등록일 2015.11.26
  • 한글파일 논리회로 자판기설계
    설계목표 - IC소자를 이용한 자판기 시스템을 설계한다. - 설계 작품은 다음과 같은 기능을 갖는다. ... 회로도 3.설계결과 4. ... 목 차 1 설 계 목 표 2 회 로 도 3 설계결과 4 TROUBLE SHOOTING 1.
    리포트 | 5페이지 | 1,500원 | 등록일 2012.08.29
  • 파워포인트파일 자판기설계(가산기 이용)
    가산기를 이용한 자판설계입니다.한번의 버튼입력(푸시버튼)으로가산기의 덧셈,뺄셈 제어와D플립플롭의 클럭(CLK)제어까지 모두 되는 설계작품입니다.단계별로 회로도 설명이 되어있고 회로도를 ... 아이디어 구상과정연산부감가산기회로(Adder-Subtrator)S=0 → 덧셈회로S=1 → 뺄셈회로
    리포트 | 17페이지 | 2,000원 | 등록일 2012.01.07
  • 한글파일 FINAL Project booth multiplier 와 carry Look ahead adder를 이용한 자판설계
    FINAL Project booth multiplier 와 carry Look ahead adder를 이용한 자판설계 1. ... 프로젝트 소개 (1) 프로젝트 목표 수업시간을 통해 배운 카운터, 가산기, 감산기, Multiplier,와 Sequential 로직을 통합적으로 이용하여 verilog 설계를 해보는데 ... 이에 같은 기능이지만 다른 구조를 갖는 adder, multiplier를 구현 후 비교해보고 나아가 이들을 이용한 실용적인 작품을 만들고자 자판기를 선택하게 되었습니다. (3) 구현내용
    리포트 | 22페이지 | 5,000원 | 등록일 2018.04.04
  • 한글파일 자판설계 코딩
    자판설계 4가지의 메뉴-coffee, luxury coffee, uja, peanut coffee 100원, luxury coffee 200원, uja 300원, peanut 400원
    리포트 | 4페이지 | 2,500원 | 등록일 2010.03.14
  • 파일확장자 FSM의 개념을 이용한 베릴로그 자판설계
    1. INPUTCLK : clocknRESET : 상태 s0 으로 초기화[1:0]Coin : 동전 / parameter [1:0] Coin0 = 2'b00 : 0원 Co..
    리포트 | 20페이지 | 3,000원 | 등록일 2013.09.05
  • 파일확장자 간단한 자판설계
    1. Purpose of the lab이 실험의 목표는 순차 로직의 원리와 storage element (flip-flop)을 이해하고 이를 바탕으로 순차 회로를 디자인 하는 것이다. 순차회로의 output은 input의 현재 sequence 뿐만 아니라 과거 sequ..
    리포트 | 16페이지 | 7,000원 | 등록일 2009.12.23
  • 파일확장자 [VHDL][논리회로] 자판설계(3가지,반환)
    [VHDL][논리회로] 자판설계(3가지,반환) A+받은 설계 입니다 실습 최종 과제 였고 최선을 다해서 만들고 교수님한테도 칭찬 받은 설계입니다.
    리포트 | 1,000원 | 등록일 2014.11.15 | 수정일 2018.05.17
  • 파일확장자 Verilog HDL (자판설계)
    14주차 논리회로설계자판기를 설계하시오.1. ... 설계배경0~10까지 총 11개의 STATE를 100원 단위와 같이 설정하고, 각각의 상황에 맞게 NEXT STATE를 결정하였다.
    리포트 | 1페이지 | 3,000원 | 등록일 2010.06.07
  • 한글파일 자판기설계
    자판기설계 서론 학교주변이나 우리가 생활하는 주변에서 찾아볼 수 있는 자판기를 만드는 회로를 winbreadboard로 구현하여 동전을 투입하여 결과 내용물과 거스름돈을 얻는 것이 ... 현 시대에는 자판기에 라면과 아이스크림 심지어 생필품까지 밴딩머신이 편의점의 이점을 살려 제작된 것들을 볼 수 있다. 또 한 신용카드로 지불하는 자판기도 등장하고 있다. ... 이런 첨단의 자판기 앞에서 이 실습은 작게만 볼 수도 있지만 가장 기초원리를 이해하게 된 실습이었던 것 같다. 현재 자판기는 돈을 입력후 하나하나 버튼을 눌러야 가능하다.
    리포트 | 8페이지 | 2,500원 | 등록일 2007.06.07
  • 한글파일 VHDL을 통한 자판설계
    자판기 [VHDL 언어 구현] library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ... 0 0 1 0 s4 0 x 1 0 0 0 0 1 0 0 0 0 x 0 1 0 0 0 0 1 0 0 0 x 0 0 1 0 0 0 0 1 0 0 x 0 0 0 1 0 0 0 0 1 자판
    리포트 | 8페이지 | 2,500원 | 등록일 2009.12.16
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업