• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(13)
  • 리포트(13)

"이진카운터vhdl" 검색결과 1-13 / 13건

  • 한글파일 VHDL 실습 (D-FF, JK-FF, 8-bit counter) 예비
    VHDL 실습(D-FF, JK-FF, 8-bit counter) 예비보고서 가. ... 이러한 카운터를 동기식 병렬 카운터로 부르며, 이진 계수기에서는 가장 빠른 구조이다. [그림 8-5] 병렬 인에이블 논리를 가진 동기식 4비트 2진 카운터 ... [그림 8-1] 직렬 인에이블 논리를 가진 동기식 4비트 이진 카운터 2) D 플릅플롭을 이용한 동기식 카운터 가장 많이 쓰이는 MSI카운터는 적재 및 클리어 입력을 갖는 동기식 4비트
    리포트 | 5페이지 | 1,000원 | 등록일 2021.01.06
  • 한글파일 디시설 - ‘1’ 개수 카운터 Leading one 카운터 설계
    결과 보고서 ( ‘1’ 개수 카운터 / Leading one 카운터 설계 ) 제목 ‘1’ 개수 카운터 / Leading one 카운터 설계 실습 목적 이 실습에서는 입력되는 이진 벡터에서 ... 실습 내용 실습 결과 ‘1’ 개수 카운터 VHDL 코드 - 코드 주요 내용 및 동작 부분 해석 for ~ loop문 : loop 문은 같은 코드가 여러 번 반복될 때 유용하다. loop ... 실험 결과 및 고찰 이번 실험에서는 ‘1’개수 카운터와 Leading one 카운터를 설계해보았다.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    또한, 인코더가 정보를 이진수로 변환한 것을 카운터를 통해 계수 처리를 실시 할 수 있다. ... 베릴로그나 VHDL, 배치와 배선(PAR)을 합성하고, 생성된 펌웨어 파일은 칩을 설정하는데 사용된다. ... Counter 카운터(counter)란 클럭 펄스를 세어서 수치를 처리하기 위한 논리 회로이다.
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 한글파일 논리회로실험 프로젝트 2, stop watch 설계
    예를 들면 6을 표현할 때의 경우 ‘0110’이 된다. 8421 방식에서 사용되는 숫자들은 0에서 9까지에 해당되는 이진수인‘0000’에서 ‘1001’이다. - BCD의 장점은 2진수보다 ... 이를 통해 카운터, 분주회로, 클록 등 다양한 논리회로에 대한 이해력을 높여 더욱 복잡한 코드를 이해하고 설계할 수 있었다. ... 겉보기에는 간단하게 보이는 stop watch였지만, 설계를 위해 OR게이트 같은 기본게이트부터 FSM까지 학기 중에 배웠던 모든 내용이 들어가 있어 이제까지 학습했던 VHDL의 문법을
    리포트 | 14페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 논리회로설계실험 - 디코더/엔코더 예비레포트
    각각의 십진수가 4비트 이진수로 인코딩되어있다. 7 segment는 10진수 숫자를 7비트 코드로 나타낼 수 있다. ... 실험 목표 디코더와 엔코더의 원리를 이해하고 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션으로 코딩이 올바르게 되었는지 확인한다 ... 이러한 이유로 BCD코드를 8421코드라고도 부른다. (5) BCD to 7 segment Decoder 세그먼트 디스플레이는 디지털 카운터와 시계 등에서 숫자를 표시할 때 자주 사용된다
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 한글파일 VHDL을 이용한 다양한 플립플롭 및 카운터설계, 실습
    실습명 : 4주차 VHDL 실습 2. ... VHDL 및 실습 Report SR F.F.~12진 카운터 제출일 2013년 4월 1일 최종기한 2013년 4월 1일 담당교수 최 종 성 교수님 학과 전 자 공 학 과 학번 2009144029 ... 사용하여 클럭을 주었다. (6) Divide-by-10 Ripple Counter -Schematic- 0~9까지 이므로 10이되는순간 모든 F.F.에 클리어가 들어가도록 10의 이진수인
    리포트 | 22페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 파워포인트파일 Digital System Design VHDL(디지털 시스템 디자인 VHDL)
    디지털 시스템 Up-Down Counter Logic 설계 제어신호에 의하여 클럭 입력에 맞추어 카운터가 증가하거나 또는 감소하는 카운터를 업다운 카운터라고 한다 . ... (Structure Modeling) 디지털 시스템 조합회로 : 8 Bit 가산기 (8 Bit Full Adder) M 비트 이진수를 입력 받아 M 비트 덧셈결과를 출력 . ... Full Adder) 설계 : Structural Modeling 디지털 시스템 조합회로 : 4 Bit 리플 캐리 가산기 (4 Bit Ripple Carry Adder) 4 비트 이진수를
    리포트 | 53페이지 | 3,500원 | 등록일 2011.11.08
  • 워드파일 Spartan 3E Board를 사용하여 디지털 시계 만들기
    관련 이론 계수기(Counter) 계수기(counter, 카운터)란 Clock pulse를 세어서 수치를 처리하기 위한 논리 회로 (디지털 회로)이다. ... 이진 계수기 이진 계수 입력된 클럭펄스가 1개씩 증가할 때마다 Q출력이 0에서 1로 반전을 반복하기 때문에 첫 번째 소자부터 출력은 입력된 클럭펄스의 수를 이진수로 표시했을 경우에 ... 또한 인코더가 정보를 이진수로 변환한 것을 계수기를 통해 계수 처리를 실시할 수 있다. 실제 시계를 구현하기 위해서는 단순한 이진 계수기만으로 충분하다.
    리포트 | 23페이지 | 5,000원 | 등록일 2011.10.30
  • 워드파일 Counters.
    수를 세는 방법(up counter, down counter), 수를 세는 주기(이진 카운터, 십진 카운터) 등으로 나눌 수 있습니다. ... Introduction Counter의 동작에 대해 알아보고 VHDL코드로 작성합니다. ... 여러 종류의 Counter(8-bit up counter with an asynchronous reset, 74LS193A counters) 에 대해서 알아보고 VHDL 로 구현해
    리포트 | 13페이지 | 1,500원 | 등록일 2010.11.02
  • 한글파일 7segment
    아마도 가장 흔한 형태의 카운터는 n비트 이진 카운터(n-bit binary counter)일 것이다. n비트 이진 카운터는 n개의 플립플롭으로 구성되며, 2n개의 상태를 가지는 카운터를 ... 예를 들어 3비트 이진 카운터는 3개의 플립플롭으로 구성되고, 23=8가지 상태(000, 001, 010, ... , 111)를 가진다. ... 또한 3비트 이진 증가형 카운터는 클럭펄스가 하나씩 인가될 때마다 상태값이 10진수로 볼 때 0, 1, 2, ... , 7과 같이 차례로 변화되므로 0부터 7까지 세는 카운터라고 말하기도
    리포트 | 13페이지 | 1,500원 | 등록일 2008.05.21
  • 한글파일 카운터결과보고서
    또한 인코더가 정보를 이진수로 변환한 것을 계수기를 통해 계수 처리를 할 수 있다. ... 실험순서 ① Johnson Counter를 VHDL 기술 최종 출력이 첫 번째 플립플롭에 보수로 입력된다면 존슨 카운터를 얻을 수있다. 0000으로 초기화 되어 있는 존슨 카운터는 ... 수업시간에 기본적인 Xillinx ISE의 사용법을 익히고 VHDL을 이용하여 여러 실습을 해본 적이 있어서 별다른 어려움 없이 구현하였다.
    리포트 | 12페이지 | 1,500원 | 등록일 2009.05.25
  • 한글파일 [논리회로] 플립플롭(Flip-Flop)
    이렇게 0이나 1의 두 가지 안정된 상태를 갖는 회로이기 때문에 2안정(bistable) 회로라고도 하고 이진 정보의 기억, 주파수 분할, 카운터 제작 등 여러 가지 디지털 회로에서 ... 이 회로를 VHDL로 표현한 것이 그림 9-2에 있다. ... 그리고 그림 9-14는 앞에서의 JK 주종 플립플롭을 component로 사용하여 직렬형 쉬프트 레지스터를 VHDL로 표현한 것이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2002.11.24
  • 한글파일 [논리회로] 4비트 동기식 카운터
    동기식 카운터 . 병렬식 카운터 및 클럭 카운터라고도 불리워진다. - 각각의 플립플롭에 클럭 펄스가 동시에 인가되어 동작한다. . 비동기 ... 인 0부터 15까지 계수할 수 있는 동기식 카운터를 의미한다. 4비트 동기식 카운터의 계수 순서는 아래 그림 23.2와 같다. { 그림 23.2 4비트 동기식 카운터의 계수 순서 0000 ... 카운터가 111 상태에 이르면 그 다음 펄스로 카운터는 000 상태로 리셋되고 그 다음 시퀀스는 반복됨을 알 수 있다.
    리포트 | 4페이지 | 5,000원 | 등록일 2002.11.07 | 수정일 2017.02.21
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업