• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,037)
  • 리포트(1,859)
  • 자기소개서(84)
  • 시험자료(52)
  • 방송통신대(22)
  • 서식(7)
  • 논문(6)
  • 기업보고서(4)
  • 이력서(3)

"업카운터" 검색결과 1-20 / 2,037건

  • 워드파일 업다운 카운터 verilog 설계
    제목 동기식 BCD 카운터 설계 실습 목적 동기식 카운터는 순차논리회로에서 예제로 가장 많이 사용된다. ... BCD 카운터는 0에서 9까지 카운트하므로 앞에서 설계한 Up_down 카운터와 마찬가지로 10개의 상태를 정의하고, 클럭의 상승 에지에서 1씩 증가하도록 한다. ... 일상샐활에서는 10진수를 주로 사용하므로, 이 실습에서는 10진수를 2진수로 표현하는 BCD 카운터를 설계한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • 한글파일 디지털 시스템 설계 및 실습 업다운 카운터 설계 verilog
    BCD카운터는 0에서 9까지 카운트 하므로 앞에서 설계한 UP-Down 카운터와 마찬가지로 10개의 상태를 정의하고, 클럭의 상승 에지에서 1씩 증가하도록 한다. ... 실습목적 동기식 카운터는 순차논리회로에서 예제로 가장 많이 사용된다. ... 일상생활에서는 10진수를 주로 사용하므로, 이 실습에서는 10진수를 2진수로 표현하는 BCD 카운터를 설계한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.03.24
  • 파일확장자 업다운카운터
    리포트 | 3,000원 | 등록일 2016.06.25
  • 파일확장자 <<AVR 푸쉬업 카운터 만들기>>초음파 센서,거리측정,HC-SR04,AVR,ATmega,회로도,소스코드,졸업작품
    [‘AVR 푸쉬업 카운터 만들기‘ 본문 내용 中 발췌] Ⅰ. ... 개 요 푸쉬업 카운터(Push Up Counter)는 푸쉬업, 다른 말로 팔굽혀 펴기 운동을 할 때에 가슴이 내려갔다 올라오는 동작을 감지하여 횟수를 카운트 해주는 제품이다. ... 신체의 높이를 감지하여 카운트하기 때문에 푸쉬업 외에도 스쿼트나 다른 운동 등에서 카운터 목적으로 활용이 가능하다.
    리포트 | 19페이지 | 5,000원 | 등록일 2019.08.12 | 수정일 2019.08.14
  • 한글파일 10진 업 카운터에 대하여 정리
    2 3 10진 업 카운터 10진 업 카운터 요 약 본 보고서에서는 최저의 저가로 주변에서 흔히 볼 수 있는 디지털 시계의 일부분인 10진 카운터를 설계해본다. ... 2,3(0으로 초기화)과 6,7(9로 초기화)을 접지시킴으로써 계속해서 반복이 일어나는 링 카운터로 동작하는 것을 알게 되었으며 0-9까지 10진 업 카운터가 정상 작동 되며 가변저항기로 ... 결론 본 실험을 통하여 타이머의 발진회로 동작원리를 이해하고 회로도와 같이 제작한 후에 7-segment에 0-9까지 10진 업 카운터가 정상 작동되는지 확인해보았다.
    논문 | 6페이지 | 3,000원 | 등록일 2015.05.25
  • 워드파일 베릴로그 BCD업,다운카운터
    1-digit BCD Counter 소스코드inc연산일 땐 Q가 9일땐 Q를 0으로 설정하고 아니라면 Q값을 하나 증가시켜줌.dec연산일 땐 Q가 0일땐 Q를 9로 설정하고, 아니라면 Q값을 하나 내려줌.TCup,TCdown 부분을 순차회로로 설계할 시 한 클럭이 ..
    리포트 | 14페이지 | 1,000원 | 등록일 2018.09.09
  • 한글파일 업다운카운터
    카운트는 2진, 2진화 10진(10진, BCD), 랜덤, 동기, 리플, 링, 시프트 레지스터 등의 종류가 있다. ● 2진 업/다운 카운터 -때때로 업 카운터 대신에 다운 카운터가 필요하다 ... 업 카운터시 110의 다음 수치는 000이며, 다운 카운터시 000의 다음 수치는 110으로 하여야한다. 2. ... 업 카운터시 101의 다음 수치는 000이며, 다운 카운터시 000의 다음 수치는 101으로 하여야한다. 2.
    리포트 | 10페이지 | 1,000원 | 등록일 2011.09.26
  • 한글파일 메트랩을 이용한 업다운 카운터 밀리모델
    과제 수행의 기대효과 이번과제를 통해 matlab 를 좀더 잘 다룰수 있게 되었다 그리고 업다운 카운터를 설계함으로서 적게는 TV리모콘의 체널조정부터 크게는 로켓발사기까지 응용할 수 ... 이론적인 회로도를 만들어본다 - JK 플립플롭을 이용하여 설계하도록 하였다 - 설계 방법은 Design procedures를 사용하여 순차적인 논리 설계를 하였다 - 동기식 4비트 업다운 ... 과제의 필요성 동기식 카운터의 장점은 n개로 구성된 비동기식 카운터보다 전파 지연시간이 짧다 비동기식 카운터는 출력이 다른 플립플롭의 입력으로 각각 들어가기 때문에 플립플롭의 수만큼
    리포트 | 10페이지 | 1,000원 | 등록일 2016.11.25
  • 한글파일 카운터(동기식,비동기식 업다운 카운터,리플캐리 카운터 등)
    (비동기식 카운터카운터) ◎ 비동기식 카운터 다운 카운터(Counter-down) 비동기식 카운터 다운 카운터카운터카운터와 다르게 입력펄스의 수를 세어 내려가는 것이다 ... (비동기식 카운트 다운 카운터) ◎ 비동기식 업-다운 카운터 데이터를 1씩 더해가는 기능과 빼는 기능을 함께 갖춘 카운터로서 입력펄스가 주어졌을 때 업카운터와 다운 카운터의 양쪽기능을 ... ) 비동기식 카운터카운터는 입력펄스의 수를 세어 올라가는 것이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.05.28 | 수정일 2015.04.24
  • 한글파일 부경대 디지털회로실험 텀프로젝트(5진 동기식 업 카운터)
    결과는 5진 0~4를 반복하고 5진(0~4) 동기식 업 카운터가 정상 작동하였다. ... 카운터 설계 5진(0~4) 동기식 업 카운터로 설계해보겠다. 2.1 카운터 진리표 현재상태 다음 상태 C B A C B A J_c K_c J_b K_b J_a K_a 0 0 0 0 ... [그림1-2] FND 내부회로 1.4 동기식 카운터 일반적으로 카운터는 비동기와 동기식으로 나누어진다. 비동기식 카운터에서는 플립플롭의 출력이 다음 플립플롭의 클럭으로 사용된다.
    시험자료 | 5페이지 | 1,500원 | 등록일 2015.09.19 | 수정일 2019.06.10
  • 한글파일 FPGA VHDL up & down counter (업다운카운터)
    기초 FPGA 실험 Report 응용컴퓨터공학과32072198정성훈2013.06.07 ▶ 프로젝트 내용 ⇒ 0~15 사이의 숫자를 세면서 16진수와 2진수로 동시에 변환해주는 4Bit Up & Down Counter 입 력 SW_1 = 숫자가 1씩 증가 SW_2 = 숫..
    리포트 | 3페이지 | 1,000원 | 등록일 2013.06.23
  • 한글파일 vhdl을 이용한 6비트 업다운 카운터
    (6-bit up down -Count) LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_signed.ALL; use ieee.std_logic_arith.all; ENTITY updown_6bit IS P..
    리포트 | 1페이지 | 1,000원 | 등록일 2010.04.07
  • 파일확장자 [Flowrian] 8 비트 업/다운 카운터 회로의 Verilog 설계 및 시뮬레이션 검증
    Structure 형식 8 비트 업/다운 카운터 회로의 Verilog 설계 및 검증 ... 이용하여 디지털 논리회로의 구조 설계를 배우려는 분에게 도움이 된다.1. 8 비트 업/다운 카운터 회로의 사양2. ... 8 비트 업/다운 카운터 회로의 동작은 Verilog 언어가 제공하는 2가지 방식, Behavior와 Structure 관점에서 전가산기의 논리동작을 모델링한다.Verilog 언어를
    리포트 | 18페이지 | 1,000원 | 등록일 2011.11.05
  • 한글파일 [논리회로] 업다운 카운터
    이렇게 해서 Pspice를 돌렸더니 이렇게 결과가 나왔습니다. 【8진 비동기 up-down counter】 비동기 카운터를 설계하고 나니 동기식 카운터도 설계를 해보고 싶어 해 봤습니다 ... ※8진 비동기 UP DOWN COUNTER 저번 16진 카운터는 제가 진리표를 만들고, 카르노 맵을 이용하여 부울 식을 최소화하여 식을 뽑아내어 회로를 설계했습니다.
    리포트 | 3페이지 | 1,000원 | 등록일 2003.08.14
  • 한글파일 [컴구조] 업다운카운터 레포트
    { Up-Down Modula Seven Counter { 학과 : 전자정보공학부 학번 : 성명 : . Up-Down Modula Seven Counter 주의사항 1. up counter시 110의 next state는 000이며, down counter시 000의 ..
    리포트 | 8페이지 | 1,000원 | 등록일 2004.11.23
  • 한글파일 디지털회로 [ 7-세그먼트디코더, 쉬프트 레지스터,업-다운카운터, 각종 카운터 _ 사전 ]
    8-9. 7-세그먼트디코더, 쉬프트 레지스터 업-다운카운터, 각종 카운터 제출일 실험조 이름 -사전 보고서- ? ... 실험목적 이번 실험의 목적은 Verilog HDL을 사용한 회로 설계 방법과, Quartus, FPGA 사용법을 익혀 7-세그먼트 및 각종 카운터를 설계, 동작해보는 것이다. ?
    리포트 | 4페이지 | 1,000원 | 등록일 2008.04.09
  • 한글파일 [논리회로] 3비트 업다운 카운터
    { 3비트 UP/DOWN 카운터 . 목 적 . 3비트 UP/DOWN 카운터의 개념과 동작특성을 익히고 PLD를 이용한 회로 구현과 시뮬레이션을 통한 회로의 검증을 수행한다. . ... 증가 또는 감소하는 순서를 수로 세는 카운터이다. ... UP/DOWN 카운터에서는 증가, 또는 감소하는 계수 순서를 결정하기 위하여 하나의 제어 입력이 필요하다. 3비트 UP/DOWN 카운터의 제어입력을 A라 할 때, A=1 이면 증가하는
    리포트 | 1페이지 | 5,000원 | 등록일 2002.11.07 | 수정일 2017.02.21
  • 한글파일 디지털 회로 실험 및 설계 - 74LS192를 이용한 Up-Down Counter 실험 2
    다운 카운터 0 1 2 3 4 5 6 7 8 9 ? 업 카운터 0 1 2 3 4 5 6 7 8 9 실험 4) 회로도 (채터링 방지 회로도) ? ... 해당하는 7-세그먼트 LED 디스플레이를 제어하기 위한 7개의 출력을 생성하므로 효율적으로 7-세그먼트를 제어했다고 분석할 수 있다. - 다음 74LS192의 경우, 4비트 동기 카운터
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 한글파일 비동기식 2진 카운터 실험레포트
    비동기식 카운터에는 업 카운터와 다운 카운터가 있다. ... 실험 [기초실험(1)] 3비트 2진 업 카운터를 설계하고 실험을 통하여 다음을 완성하시오. ... 기초실험(1)은 3비트 2진 업 카운터를 D 플립플롭을 이용하여 설계하는 실험이었다.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.06.09
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업