• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(304)
  • 리포트(297)
  • 논문(4)
  • 시험자료(2)
  • 자기소개서(1)

"스텝(STEP)모터" 검색결과 1-20 / 304건

  • 파일확장자 스텝모터(Step Motor) 응용사례 및 특성 조사
    스테핑(stepping) 모터는 다른 명칭으로 스텝 모터(step motor), 펄스 모터(pulse motor), 스테퍼 모터(stepper motor) 등으로 불리 운다. ... 스테핑(Stepping) 모터란? ... 개 요 스텝 모터는 1920년 영국에서 개발된 고정밀도의 위치결정이 가능한 Motor로써 스테핑이란 명칭이 사용 것은 1923년 스코틀랜드의 프렌치가 발명한 VR 형 스테핑
    리포트 | 6페이지 | 3,000원 | 등록일 2012.05.30
  • 한글파일 [자동제어] Stepping motor (스텝모터) 관련 정리 자료
    Stepping motor란? Stepping motor는 입력 펄스에 대응하여 일정 각도씩 step상에 회전한다. ... 이 모터는 7.5° step의 2상 PM형 Stepping motor 예인데 회전자에는 12극에 대하여 N-S극이 정현파상으로 분포하여 착자되어 있다. ... 이 진동주기와 입력 펄스의 시기가 같으면 stepping motor의 약점인 공진의 문제가 생긴다. stepping motor 공진 주파수에는 모터 소유 진동수의 수배의 주파수에 상당하는
    리포트 | 11페이지 | 1,000원 | 등록일 2009.02.08
  • 한글파일 Step Motor
    스텝 모터는 그 내부를 구성하는 고정자라고 불리우는 극의 수에 따라 종류가 결정되며, 기본적으로 이 극의 수에 따라 motorstep각 등의 기본 특성이 달라진다 (ex : 4상 ... 실험이론 3.1 Step Motor 스텝 모터는 디지털 펄스에 의하여 미리 지정된 스텝만큼만 정확히 회전하는 모터이다. ... 하이브리드형은 미세 스텝각을 구현하는데 유리한 구조를 가지고 있어서 오늘날의 스텝 모터는 대부분 이 방식으로 되어있다. 3.2 Step Motor의 구동방법 - 하이브리드형 기준으로
    리포트 | 3페이지 | 1,000원 | 등록일 2022.04.07
  • 한글파일 아주대학교 자동제어실험 5번 실험 / 스텝 모터 및 RC 서보모터 / 예비보고서
    스텝 모터 스텝 모터의 특징 스텝 모터란 쉽게 말하면 step에 의해 구동되는 모터를 의미한다. 회전 step 당 각도를 설정하고, 이에 따른 Pulse를 기준으로 제어된다. ... 실험 이론 및 방법 스텝모터 (Step Motor) 1 회전 각도는 입력 펄스 신호수에 비례하여 정해진다. 2 회전속도는 입력 펄스 레이트(펄스 주파수)에 비례한다. 3 회전자에 영구 ... CLOCK 모터의 속도를 제어하는 클럭펄스를 인가하는 입력 CW/CCW 모터의 회전방향을 제어하는 입력 Half/Full Half step이란 CLK으로 들어오는 펄스의 반만 이용하는
    리포트 | 5페이지 | 1,000원 | 등록일 2022.03.06
  • 한글파일 메카트로닉스 Stepping 모터 실험 보고서
    Stepping Motor & BLDC Motor & DC Motor 의 장단점 비교 Motor 종류 장 점 단 점 Stepping 모터 기동 토크가 크다. ... STEP 모터 사인파 ☞ 사인파 제어는 모터 1에 대하여 주기와 진폭 값을 (800, 2000), (800, 7000), (300, 1500), (0,0) S1 값을 주며 그래프를 ... 입력 Pulse 수에 대응하여 일정 각도씩 움직이는 모터로, Pulse 모터 혹은 스텝모터라고도 한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2019.04.21
  • 한글파일 스텝모터 드라이버 실험 보고서 (A+ 레포트)
    실험의 가설 1-1) Stepping motor의 특징과 명칭 Stepping motor는 이외에도 Step motor, Pulse motor, Stepper motor등으로도 불리고 ... Stepping Motor 동작원리 A상에 전류가 공급되면 Stator는 S극으로 자화되어 N극의 Rotor1과 흡인력을 가지게 된다. ... 이것은 모터의 전력 효율이 일반적으로 낮은 것, 모터를 돌리기 위한 구동회로의 코스트가 높은 것이 크게 관계되기 때문이다. 1-2) Stepping motor의 종류와 그 개요 스텝
    리포트 | 11페이지 | 4,500원 | 등록일 2019.09.02 | 수정일 2022.01.04
  • 워드파일 광전자공학 2주차 보고서, 버튼과 스텝모터를 사용한 아두이노 스케치
    버튼과 스텝모터를 사용한 아두이노 스케치 유튜브와 아두이노 배우기 블로그를 참고하여, 스위치를 눌렀을 때 회전 후에 다시 제자리로 돌아오는 모터를 스케치하였다. int STEP = ... 3; int DIR = 2; int Switch=4; void setup() { pinMode(Switch,INPUT) pinMode(DIR, OUTPUT); pinMode(STEP ... sensorinterval = 1000; long ledinterval = 10000; long previoussensorMillis = 0; long previousledMillis = 0; void setup
    리포트 | 3페이지 | 1,000원 | 등록일 2021.03.08
  • 한글파일 모터제어 기말고사 요약본
    이를 수행하여 stepping 모터를 회전시킬 수 있다. 1상은 구동시 stepping모터의 진동이 적다. 2상은 구동시 stepping 모터의 진동이 크다. 1-2상 구동시 분해능은 ... Stepping 모터가 외부 전류가 일정시간 흘러 한 단계(step)씩 움직이는 이유는 고정자 영구자석이 서로 다른 극을 가진 두 개의 큰 톱니바퀴형 구조로 되어 있기 때문이다. ... 회전각이 입력 pulse 수 비례 -> 위치 제어 아주 우수 모터의속도는1초간의입력pulse 수(입력pulse 주파수) 에비례 1 step 당각동오차가5% 이내이며회전각오차가step마다누적되지않음
    리포트 | 13페이지 | 1,000원 | 등록일 2022.06.05
  • 워드파일 진동및메카트로닉스 스텝모터및엔코더 실험 보고서
    실험2) 스텝모터(STEP-MOTOR) DC 모터와 달리 스텝모터는 전자석의 기능을 이용하여 모터의 회전축(로터)을 돌리는 구조이다. 위 회로도에 컨트롤러가 전압을 준다. ... 회전되는 step의 수를 세어서, 설정해준 step수를 비교한다. 4) step수를 십진수 6 (이진수;0110)으로 설정하고, 각 step에서 켜져 있는 LED를 다음 표에 표시 ... b) 시작스위치 SW3 (push button)이 눌려지면, 스텝 모터가 1회전 하도록 프로그램을 개발하여 동작시키시오. 1 step 각도가 얼마인가 ?
    리포트 | 14페이지 | 3,000원 | 등록일 2020.06.27 | 수정일 2021.03.15
  • 한글파일 아주대학교 자동제어실험 5번 실험 / 스텝 모터 및 RC 서보모터 / 과제보고서
    과제 출력 예시 수기로 작성해본 75% Duty cycle Pulse 다음은 Half step과 Full step에 관한 설명이다. 빈칸을 채우시오. ... Half step에서 펄스에 따른 각은 full step에서의 펄스에 따른 각의 반이다. RC 서보모터를 이용한 연결 방법을 bread board에 그리시오. ... Operation 본 실험에서 사용하는 L297 IC는 스텝 모터 컨트롤러이다. 2상 Bipolar, 4상 unipolar 스텝 모터에 대하여 4상 구동 신호를 생성할 수 있고, 위상은
    리포트 | 3페이지 | 1,000원 | 등록일 2022.03.06
  • 한글파일 부산대학교 공학설계실습 강체동역학 CAE A+ 보고서
    모터 : 다음 그림에서 보이는 것과 같이 0rad/s에서 시작하여 4rad/s까지 증가하여 유지된 다음 step4에서 다시 정지되도록 설정하였다. 2. ... 선풍기 날개의 경우 회전을 하기위해 step1에서 15rad/s로 증가시켜준다. 3. ... 모터의 rotation을 보면 Step0에서 Step1사이에는 일직선으로 증가하다가 Step2에서는 일정하게 되는 조건을 부여하였다.
    리포트 | 13페이지 | 2,500원 | 등록일 2022.06.28
  • 한글파일 공학설계실습 2차 CAE 앤시스 동적해석 A+ 레포트
    따라서 4스텝에서부터 5번째 스텝까지 지속적으로 105 rad/s를 입력한다. ... Analysis settings 전체 5단계의 step에 아래와 같이 해석시간을 적용한다. initial time step : 0.1s minimum time step : 0.01s ... , maximum time step : 0.2s 으로 설정한다.
    리포트 | 25페이지 | 4,000원 | 등록일 2023.01.06 | 수정일 2023.11.04
  • 한글파일 아주대학교 자동제어실험 5번 실험 / 스텝 모터 및 RC 서보모터 / 결과보고서
    CLOCK 모터의 속도를 제어하는 클럭펄스를 인가하는 입력 CW/CCW 모터의 회전방향을 제어하는 입력 Half/Full Half step이란 CLK으로 들어오는 펄스의 반만 이용하는 ... 스텝 모터 및 RC 서보모터 1. 실험 목적 본 실험에서는 스텝 모터와 서보모터의 사용법에 대해 다룬다. 모터는 전동기, 우리가 흔히 알고 있는 발전기의 그것이다. ... Operation 본 실험에서 사용하는 L297 IC는 스텝 모터 컨트롤러이다. 2상 Bipolar, 4상 unipolar 스텝 모터에 대하여 4상 구동 신호를 생성할 수 있고, 위상은
    리포트 | 7페이지 | 1,000원 | 등록일 2022.03.06
  • 한글파일 제어계측공학과 졸업작 『라인트레이서』
    회전 속도에 있어서도 stepping motor에 부여하는 pulse rate에 비례하므로 임으로 제어할 수 있다. 3.2 Stepping motor의 특징 a) 장 점 1) motor의 ... 여기서는 일반적으로 가장 많이 사용되고 있는 4상 stepping motor를 예를 들어 동작원리에 대해 설명한다. ... 5상, 6상 등의 종류가 있으며, 기본적으로 이 극의 수에 따라 motorstep각 등의 기본 특성이 달라진다.
    논문 | 24페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • 한글파일 [전기전자실험]로보틱스 실험보고서
    1 step 당 각도 오차가 5% 이내이며 회전각 오차가 step마다 누적되지 않음 ?정지 시에 높은 유지토크로 위치를 유지 가능, 기동 및 정지 응답성 양호 ? ... 모터제어 이론 5-⑴. DC모터 5-⑵. BLDC모터 5-⑶. STEP모터 5-⑷. SERVO모터 5-⑸. ... 스텝모터의 장점은 펄스에 의해 회전이 결정되므로 제어가 쉽다는 것이다. 하지만 서보모터보다 토크가 작아서 강한 회전력을 필요로 하는 곳에는 서보모터를 사용하는 게 좋다.
    리포트 | 8페이지 | 1,500원 | 등록일 2020.12.17 | 수정일 2023.11.27
  • 한글파일 아두이노 DC모터+STEP모터 결과레포트
    스텝모터 제어 실습결과 - STEP 모터 모듈 1A, 1B, 2A, 2B와 D40, D41, D42, D43과 연결한다. - STEP 모터가 제대로 연결이 되어 있으면 스텝모터가 회전하는 ... 또한 스텝모터의 구동방식에는 UNI-POLAR와 BI-POLAR가 있다. ... 스텝 각도가 1.8도인 모터에 펄스를 100개를 인가하면 모터는 189도를 회전하게 된다. 마찬가지로 200펄스를 주개되면 모터는 360도를 회전하게 된다.
    리포트 | 17페이지 | 1,500원 | 등록일 2021.12.28
  • 파일확장자 제어계설계 Term Project
    실험 제목Matlab simulink와 arduino를 이용한 servo motor의 각도 변화에 따른 potentiometer 출력 전압의 각도에 대한 calibration.2. ... time이 0(s)이고 final value가 1인 step input을 받는 controller의 Block Diagram Model을 그린다. ... Block Diagram Model of closed-loop control system with PID controller와 같이 Matlab의 Simulink를 이용해 step
    리포트 | 15페이지 | 1,000원 | 등록일 2021.05.17
  • 한글파일 [A+] 제어공학실험 스탭모터 실험 보고서
    Step-Motor의 정의 Step-Motor는 외부의 DC전압 또는 전류를 모터의 각 상 단자에 스위칭방식으로 입력 시켜 줌에 따라 일정한 각도의 회전을 하는 모터이다. ... Step-Motor의 구동원리 그림에서 고정자 권선(stator)에 전류를 흘려주면 그림과 같이 N극과 S극이 형성되어 회전자(rotor)인 영구자석이 (a)의 위치에 오게 된다. ... 제어공학실험 Step-Motor Ⅰ. Step-Motor의 원리 1.
    리포트 | 9페이지 | 2,500원 | 등록일 2019.11.28
  • 한글파일 아주대학교 기계공학응용실험 A+ 결과보고서 DC모터 구동 및 해석
    3V 0.0334 0.0930 5.892 step -3V 0.0212 0.0608 35.11 선정 값 0.0309 0.0868 - Step 데이터 2개와 Ramp 데이터 2개로부터 ... 특히 그림9 ramp input 3V의 각가속도에서 노이즈가 가장 심하지만 그 경향은 실험과 시뮬레이션이 일치한다. step과 sine input에서의 그래프를 살펴보면 실험과 시뮬레이션의 ... (3V), Ramp(3V), Sine(3V)[frequency = 0.1] 5.6 실험과 시뮬레이션에 대한 각속도, 각가속도 plot (Step) 그림 11 Step 3V 5.7 실험과
    리포트 | 11페이지 | 1,000원 | 등록일 2021.03.25
  • 한글파일 제어시스템설계 설계과제 레포트
    모터가 적은 비용으로 짧은 시간에 step 입력에 대한 목표치에 도달하게 하는 제어기를 설계한다. 2. 모터를 가능한 오래 사용할 수 있는 (oscillation이 작게. ... 모터가 적은 비용으로 짧은 시간에 step 입력에 대한 목표치에 도달하게 하는 제어기를 설계한다. 2. ramp 입력에 대한 오차가 작은 제어기를 설계한다. 2. ... 제어기를 추가했을 때의 Step Response와 Root Locus는 다음과 같다. nump=[1 147]; denp=[1]; sysp=tf(nump,denp); step(sys)
    리포트 | 8페이지 | 2,500원 | 등록일 2020.09.02
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업