• 캠퍼스북
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(68)
  • 리포트(66)
  • 자기소개서(1)
  • 시험자료(1)

"세븐세그먼트" 검색결과 41-60 / 68건

  • 한글파일 7-세그먼트 LED 디코더 표시회로
    REPORT 7세그먼트LED 디코더와 표시회로 결과보고서 ▣실험제목 - 7-세그먼트 LED 디코더와 표시회로 ▣실험목적 - 7-세그먼트 LED 표시소자 및 디코더를 이해하고 실험으로 ... 가 0일때는 왼쪽의 세그먼트까지 불이 들어오고 가 1이외면 왼쪽의 세그먼트에서 불이 들어와서 그값을 표시한다. ... LED 표시소자를 나타낸 것으로, a~g를 세그먼트라 한다. 7-세그먼트 LED 표시소자에는 그림 14-2(b), (c)와 같이 애노드 공통형(CA)과 캐소드 공통형(CC)이 있다
    리포트 | 6페이지 | 1,000원 | 등록일 2009.07.01
  • 워드파일 불법 주정차 단속 시스템 제안서
    아무것도 없는 상황에서 먼가 만들려니 힘들었었는데 3학년 때 카운터와 세븐 세그먼트를 만들었던 것이 기억이 나서 이것을 기초로 만들기 시작했고 만들면서도 4학년때 처음 만들어 보는 ... 세그먼트가 작동이 제대로 되지를 않아서 하드웨어 적인 문제인지 프로그램상의 문제인지 가 있는지 오실로스코프로 검사를 해봤는데 하드웨어에 신호도 잘 들어가는 것 같고 내가 생각하기에 ... 잘 못 사용하는 문제로 작동되지 않는데 그 이유를 찾지 못해서 2시간 동안 소스 코드만 검토 할 때는 내가 왜 이러고 있어야 하는지 회의감이 들기도 하였고 제품을 구현을 했는데 세븐
    리포트 | 14페이지 | 1,500원 | 등록일 2012.06.04
  • 한글파일 디지털 시스템 및 실험-Experiment 4
    세븐 세그먼트를 연결할 때 앞에 저항들을 달아 주는데 이는 세븐 세그먼트가 각각의 led로 구성되어있는 것과 같기 때문에, led를 동작하기 위한 전류 값이 정해져 있고, 그 전류값을 ... 세븐 세그먼트 실험의 자세한 내용은 앞장에서 그림파일로 첨부했다. 0에서 9까지는 정상적인 모양으로 출력을 하며 그 이후의 입력에 대해서는, 입력값에 대응하는 모양의 출력이 나오게
    리포트 | 2페이지 | 1,000원 | 등록일 2009.06.29
  • 한글파일 플립플롭
    값을 입력하게 되면 세븐세그먼트 와 우리가 만들었어 GAL을 연결하게 되면 0~F까지의 16진수가 표현이 된다. ... 위와 같은 결과 값이 나왔는대 JK Flip-Flop을 4개 연결 후에 555Timer를 연결하여서 세븐세그먼트와 전에 했었던 GAL을 이용해서 하면 16진수가 표현되는 카운터가 만들어
    리포트 | 6페이지 | 3,000원 | 등록일 2010.11.23
  • 한글파일 0~F 까지 나타내는 7 - 세그먼트 (SEVEN - SEGMENT) 결과 보고서
    실험 보고서 7-세그먼트 전자공학과 1. 실험주제 → 0~F 까지 7 세그먼트 구성하여 나타내기 2. ... 느낀점 → 이번 실험에서는 0~F 까지 7-세그먼트를 구현하는 실험이었다. ... 실험목표 → 4개의 스위치를 사용하여 0~F까지의 7-세그먼트를 구성하기 위해서 진리표를 구성한후 그 진리표에 맞게 회로를 구성하여 시뮬과 동작결과를 나타내어라. 3.
    리포트 | 17페이지 | 1,500원 | 등록일 2013.11.16
  • 파워포인트파일 적외선이용한 경품추천기
    4543 → FND 드라이버 저항 : 1㏀ , 10㏀ 50㏀ 2㏀ 등 커패시터 : 10㎌ 100 ㎌ 등 트렌지스터 : C945P 3D 입체 사진 구성도 랜덤 숫자발생기 발진회로 + 세븐 ... - 세그먼트 카운터 스위치로 발진회로 동작 카운터로 숫자표시 회로도 및 작동원리 4543B FND507 비안정 멀티바이브레이터 : 구형파 발생시키는 발진회로 스위치 on : 발진
    리포트 | 11페이지 | 1,000원 | 등록일 2010.06.18
  • 한글파일 기초회로 실험. 25장 제너다이오드 (결과레포트)
    조금 더 생각해본다면 나아가 우리가 하는 7107 ADC칩을 사용한다고 가정할 때 세븐세그먼트에 표시된 숫자가 19.99 라는 온도센서라고 생각을 해보겠습니다.
    리포트 | 2페이지 | 1,000원 | 등록일 2012.03.26
  • 한글파일 CUBLOC2
    세븐 세그먼트와 마찬가지로 LCD모듈을 큐블록에서 직접 컨트롤하지 않고, 별도의 CLCD모듈을 사용해서 LCD디스플레이를 사용한다. ... 세븐 세그먼트와 마찬가지로 LCD모듈을 큐블록에서 직접 컨트롤하지 않고, 별도의 CLCD모듈을 사용해서 LCD디스플레이를 사용한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2011.01.21 | 수정일 2019.11.21
  • 파워포인트파일 적외선센서 이용한 로봇청소기
    펄스를 발진하여 카운터 타이밍 및 회로 ON/OFF 담당 74LS90 펄스를 받아 2 진수를 출력하는 소자로 1 씩 증가 74LS47 74LS90 으로부터 받은 2 진수 신호를 세븐 ... - 세그먼트에 10 진수로 출력 LM324 – 비교기 회로 구성 L298N – 모터 드라이버 ( 모터에 전압과 전류 공급 ) 7400, 7402, 7432 등 논리 소자 - 회로
    리포트 | 21페이지 | 2,000원 | 등록일 2010.06.18
  • 한글파일 곱셈기(디지털회로 텀프로젝트)
    출력값은 두 개의 세븐 세그먼트 LED를 통해 표현 되어진다. :하나는 부호를 출력하고 다른 하나는 십진수 값을 표현한다. ... -1 0 0 0 0 0 0 0 0 0 1 1 -1 0 1 1 1 0 0 0 1 -1 1 1 -1 1 0 -2 0 0 0 1 0 2 1 1 -1 1 1 -1 0 0 0 0 1 1 *세그먼트
    리포트 | 5페이지 | 무료 | 등록일 2010.09.17
  • 한글파일 VHDL을 통한 자판기 설계
    ,s1,s2,s3,s4 선언 signal stats : states; -- stats 시그널 선언 signal tmp : std_logic_vector(6 downto 0); --세븐세그먼트 ... 세그먼트 출력 포트 선언 end zafan; architecture test of zafan is -- architecture test type states is (s0,s1,s2 ... ; -- 출력 포트 선언 seg_a, seg_b, seg_c, seg_d, : out std_logic; seg_e, seg_f, seg_g : out std_logic); -- 세븐
    리포트 | 8페이지 | 2,500원 | 등록일 2009.12.16
  • 한글파일 Verilog HDL을 이용한 7-세그먼트디코더와 시프트 레지스터
    디지털회로개론실험 예비보고서 HDL을 이용한 설계 7-세그먼트 디코더 시프트 레지스터 0. ... 실험목적 7-세그먼트와 시프트 레지스터를 HDL을 이용하여 설계해봄으로써, Verilog HDL을 사용한 회로 설계 방법과 Quartus Ⅱ의 사용법을 익힌다. 1. ... 이론 . 7-세그먼트 디코더 0) VHDL로 표현 library Ieee; use ieee.std_logic_1164.all; entity seg_decoder is port( cnt_in
    리포트 | 3페이지 | 1,500원 | 등록일 2010.06.18
  • 한글파일 시그널 스테이션을 기반으로한 차단기 구성하기
    부품 명 수량 스테핑 모터 1개 광전스위치 수광부 발광부 1개씩 로드셀 1개 세븐세그먼트 1개 이제 프로그램을 살펴 보면 프로그램 전문 // ExampleDlg.cpp : 구현 파일 ... 세븐 시그먼트에 실행시 입력한 중량 표시 표시 된 중량 이상을 로드셀에 가해야 스태핑 모타가 동작되도록 프로그램 표시된 이상의 중량이 되면 스태핑모터를 동작시켜 차단기를 올립니다. ... 차량이 오기전 차량이 도착 센서의 빛이 차단되면 세븐 시그먼트에 프로그램 실행시 입력한 값을 나타내게 할텐데 이 값이 이상의 무게가 나가야 스테핑 모터가 동작하도록 프로그램을 작성합니다
    리포트 | 11페이지 | 1,000원 | 등록일 2009.07.01
  • 한글파일 [토끼] 기초전자공학실험1_Encoder_Decoder_MUX
    그리고 74ls47과 연결시켜 주면 세븐세그먼트에 스위치 조작만으로 0~9의 숫자가 표시되는 것을 볼 수 있을 것이다. 74ls147에 truth table 대로 전원을 넣어 주면 ... 세그먼트에 0~9의 숫자를 표시해야 하므로 74ls47 로 들어가는 인풋은 0000,0001 ... 과 같은 BCD 코드를 가져야 한다.
    리포트 | 40페이지 | 5,000원 | 등록일 2011.04.11 | 수정일 2020.07.10
  • 한글파일 카운터설계
    이번 카운터는 세븐세그먼트, GALV8D, JK F/F, 가변저항 등 을 이용한 설계이다. 이번 설계가 저번 설계보다 한층 더 발전된 모습 이였다.
    리포트 | 28페이지 | 3,000원 | 등록일 2010.11.23
  • 한글파일 [전자기학]LIGHT-EMITTING DIODES(결과레포트)
    . ▶ Seven-Segment display G F 전원 A B ⑥ ⑦ ⑧ ⑨ ⑩ ① ② ③ ④ ⑤ E D 전원 C 점 세븐세그먼트 디스플레이 소자 연결도. 사진 1.
    리포트 | 3페이지 | 1,000원 | 등록일 2006.06.23
  • 한글파일 BMW_Marketing_보고서(A+)
    그 후 1928년 영국의 오스틴세븐의 라이센스로 승용차를 만들던 딕시(Dixi)사를 인수하면서 자동차 생산에 뛰어들었다. ... 현재 BMW가 시행하고 있는 Offline Marketing 1) 고객 욕구를 잘 파악하여 민감하게 반응한 마케팅 - 프리미엄 세그먼트 전략 마케팅 : 고소득자를 주요 고객층으로 설정
    리포트 | 15페이지 | 2,000원 | 등록일 2009.05.06
  • 한글파일 엔코더와 디코더 예비
    예) 디지털 시계나 전자 계산기 등 b 사용법 : 디지털 신호에 의해 발광하는 LED를 각 선분 (세그먼트)에 연결하고 그 LED를 발광시켜 원하는 숫자를 표현 할 수 있다. 7 세그먼트 ... n개의 출력신호를 만든다. { D3 D2 D1 D0 B A 10진수 0 0 0 1 0 0 0 0 0 1 0 0 1 1 0 1 0 0 1 0 2 1 0 0 0 1 1 3 3. 7-세그먼트 ... { f=barA B barC +barACD +barA B barD +A barBD { g=barA C barD +barAB C +barA B barC +A barBC BCD-7 세그먼트
    리포트 | 4페이지 | 1,500원 | 등록일 2004.03.20 | 수정일 2014.08.20
  • 한글파일 디지털시계 맥스플러스 설계보고서
    세븐카운터의 출력이 001일때는 월요일, 010에는 화요일 011에는 수요일...... 111에는 일요일이 출력된다. 그리고 폭발신호에 1이 들어가면 폭탄이 터진다. 3. ... 분, 시, 요일, 알람세팅으로의 변환) 3 - 폭발 시간 조정버튼 4 - 폭발 시간 세팅 전환 버튼 5 - 폭탄 해제 버튼 2) 출력 각각 세그먼트에 전달되는 값을 시간으로 출력,
    리포트 | 13페이지 | 1,500원 | 등록일 2010.07.10
  • 한글파일 [전자공학]TTl 소자를 이용한 게임기
    디코더이다. 74LS248의 회도로 심벌은 다음과 같다. 2진수 입력은 7 1 2 6에서 받아들이고 이러한 출력을 13 12 11 10 9 15 14 으로 낸다. 7개의 출력이 세븐 ... 세그먼트로 연결되어 그 값으로 디스플레이 표시에 필요한 입력 값을 담당 한다. ... 그리고 다시 연결 하 따라 저항을 높이게 되면 세그먼트의 불이 약해지고 낮추면 불이 밝아지지만 대신 전류 소비가 심하다.
    리포트 | 11페이지 | 1,500원 | 등록일 2005.12.10
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업