• LF몰 이벤트
  • 파일시티 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(643)
  • 리포트(557)
  • 자기소개서(59)
  • 방송통신대(15)
  • 시험자료(8)
  • 논문(3)
  • 표지/속지(1)

"디지털회로설계 시계" 검색결과 1-20 / 643건

  • 파워포인트파일 디지털 알람 시계 회로설계 및 제작
    디지털 알람 시계 회로설계 및 제작 Contents Conclusion 작동 원리 주요 소요 부품 회로도 및 회로 설명 TIME TABLE 1. ... 최종 설계 목표 ( SPEC ) 시 , 분 , 초의 카운터 기능 알람 기능 AM( 오전 )/PM( 오후 ) 표시 기능 Reset ( 작동하고 있는 시계를 Reset 시키는 것 ) Time ... 회로도 및 회로 설명 TIME TABLE 최종 설계 목표 ( SPEC ) 업무분담 작품시현 보드구현 보드구현 회로도 및 회로 설명 TIME TABLE 최종 설계 목표 ( SPEC )
    리포트 | 16페이지 | 2,000원 | 등록일 2020.02.14
  • 파일확장자 [디지털공학개론] 디지털 시계회로설계와기본형 레지스터 및 IC의 종류
    발진 회로디지털 시계회로설계에 있어서 발진회로는 일정한 클록을 제공하기 위해서 요구된다. 이를 세팅하기 위해서는 다음의 세 방법이 있다. ... 분주 회로디지털 시계의 기본 단위로 약속된 시간 규격인 초 를 나타내기 위해서는 ‘ ’ 1Hz 주파수가 필요하다 분주회로는 정현파에서 구형파를 얻을 수 있도록 . 60Hz 1Hz 도와주는 ... 회로이다 이때 의 정현파는 발진회로의 과정을 거쳐서 생성된 . 60Hz것이다.3.
    리포트 | 6페이지 | 2,000원 | 등록일 2020.04.05
  • 워드파일 디지털 알람시계 설계 보고서 - 디지털회로설계및언어
    디지털 알람시계 설계 보고서 1. 주제 : Digital Alarm Clock 2. 기능 1. 설계주제 - 알람기능을 하는 시계 구현. 2. ... 설계과정 1) Controller & Data path 2) Algorithm Mode1 Mode2 Mode3 Normal Operation Min, Hour, Date, Month ... = 0) begin Alarm_CNT = Alarm_CNT - 3'b001; set_PTR = 1; end else begin clear_PTR = 1; end (5) 일반 시계 작동
    리포트 | 15페이지 | 3,500원 | 등록일 2017.11.16
  • 파일확장자 회로이론 디지털 시계 설계
    logic works로 구현된 디지털 시계입니다. 시간/달력/타이머/알람이 가능합니다.
    리포트 | 33페이지 | 2,500원 | 등록일 2010.11.21
  • 파워포인트파일 논리회로-디지털시계 설계 텀프로젝트 발표자료
    ..PAGE:1 Digital logic design Term project 11조 ..PAGE:2 topic ..PAGE:3 Topic : 디지털 시계 ..PAGE:4 Design ... (시간 조절 회로) ..PAGE:14 question ..PAGE:15 Thankyou 6 7 ... implementation ..PAGE:12 Step 7 : implementation ▲ 오전 오후 표시부 ▲ 시간 표시부 ..PAGE:13 Step 7 : implementation ▲ 단발 펄스 회로
    리포트 | 15페이지 | 1,000원 | 등록일 2013.12.22
  • 한글파일 [논리회로] 디지털시계 설계 텀프로젝트 제안서
    Digital Logic Term Project Proposal 수강번호 1324 11 조 ■ Title : 24시간 표현 디지털시계 ■ Objective 24시간이 표현되는 디지털시계를 ... 논리회로 소자를 이용하여 구현 해 본다. ... , 보고서 작성 - 회로 시뮬레이션, 보고서 작성 - 회로 시뮬레이션, PPT 발표 ■ Schedule Date Contents 11/25(금) 아이디어 제안 종합 11/26(토)
    리포트 | 2페이지 | 1,000원 | 등록일 2013.02.06
  • 파일확장자 [MaxPlus] 디지털 시계 회로 설계
    Digital Clock Circuit를 설계함으로서 수업중 배운 내용의 이해도를 파악할수 있다.☞ 3.2 10진 카운터 (초,분의 일의 자리)디지털 시계의 구성은 00시 00분 00초 ... 상태천이표와카르노맵에 의한 식의 간략화, 카운터, 동기 논리 회로 설계 등 한학기동안 배운 내용을 총 동원하여 Dgital ClockCircuit를 설계에 적용 할 것이며, 시계는 ... 목표가정에서 흔히 볼 수 있는 Digital Clock을 JK/FF과 논리 게이트를 이용하여 회로설계할 것이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2008.11.13
  • 한글파일 [컴퓨터 전공][과목 : 디지털 논리 회로 설계 및 실습][내용 : 디지털 시계]
    시계 회로 참고 서적 최신 디지털 공학 VHDL을 이용한 FPGA 디지털 설계 ... REPORT 교과목 담당 교수님 제출 날짜 팀원 전 공 학 번 이 름 Digital Clock 작품 개요 주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 ... 이와 같은 디지털시계설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자.
    리포트 | 11페이지 | 1,500원 | 등록일 2013.06.11
  • 한글파일 [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험19) 디지털 시계 설계
    시뮬레이션 3) 를 이용하여 hour자리용으로 적합한 divider_2를 설계하고, simulation하라. ? 코드 ?
    리포트 | 8페이지 | 3,000원 | 등록일 2014.10.21 | 수정일 2016.06.15
  • 한글파일 논리회로설계 프로젝트 디지털 시계2 (7-segment)
    논리회로설계 프로젝트 3- 디지털 시계 목표 디지털 시계를 출력하는 머신을 작성하여 8개의 7-segment에 출력할 수 있는 프로그램을 작성하시오 설계 이번 설계과제는 ‘2차 과제에서 ... is end tb_digital_clock; architecture tb_digital_clock_arch of tb_digital_clock is component clock_main ... 데이터를 나누어 각각 7-segment에 출력을 할수 있게 만든다. day[1] day[0] hour[1] hour[0] min[1] min[0] sec[1] sec[0] 2차과제의 회로
    리포트 | 14페이지 | 2,000원 | 등록일 2013.12.08
  • 한글파일 [논리회로] 디지털시계 설계 텀프로젝트 최종보고서
    설계 내용 및 방향 ▶ 디지털시계의 구성 주변에서 흔히 볼 수 있는 디지털시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 하나이다. ... 이와 같은 디지털시계설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자. ... 디지털시계회로의 전체적인 구성은 12진 카운터, 12진 디코더, 6진 카운터, 10진 카운터와 7-segment로 구성됩니다.
    리포트 | 13페이지 | 2,500원 | 등록일 2013.02.06
  • 파일확장자 [Flowrian] 디지털 시계 회로의 Verilog 설계 및 시뮬레이션 검증
    시계의 최상위 모듈Verilog 언어를 이용하여 디지털 응용 회로의 고급 설계를 배우려는 분에게 도움이 된다. ... 디지털 시계를 구성하는 모듈들을 Verilog 언어로 설계하고 시뮬레이션으로 검증한다.- mux4b : 4 비트 3 입력 멀티플렉서 - comparetime : 현재 시간과 알람 시간 ... 시계의 동작 모드 선택용 유한상태머신 - alarmcontrol : 알람 제어용 유한상태머신 - timecontrol : 현재 시간 제어용 유한상태머신 - stwcontrol :
    리포트 | 74페이지 | 4,000원 | 등록일 2011.09.17
  • 한글파일 디지털 논리회로이용한 시계설계
    그러므로 디지털 시계설계할때 필요한 분주기 종류로는 3가지가 있다. 우리가 쓰는 키트의 클럭을 병렬로 분주기를 나누어서 각 회로에 인가하여 회로설계하여야 한다. ... 서 론 이번 설계는 한 학기 동안 수업을 통해 배웠던 기본 / 순차논리회로의 동작을 응용하여 카운터가 기본이 되는 디지털 시계를 직접 설계하는데 중점을 두었다. ... 만약 키트의 클럭을 100HZ로 놓고 디지털 시계설계한다고 가정한다면 1HZ를 1 초라 하면 클럭을 100분주기로 만들어야 디지털시계를 표현할수가 있다.
    리포트 | 16페이지 | 2,500원 | 등록일 2008.12.02
  • 한글파일 [디지털시계] ★디지털시계 설계★6진,10진,12진 카운터설계회로구현 및 파형★
    디지털시계 회로구현 및 파형 8. 설계 고찰 1. 설계 개요 - reset단자가 있는 T플리플롭을 이용한 디지털 시계를 maxplus프로그램으로 설계를 한다 2. ... 디지털시계 회로구현 및 파형 * 디지털시계 회로구현 * 디지털시계 Compiler 및 waveform ... 설계 개요 2. 디지털시계 설계 3. T플리플롭 설계 4. 6진 카운터 설계 5. 10진, 12진 카운터 설계 6. 카운터 회로구현 및 파형 7.
    리포트 | 11페이지 | 1,500원 | 등록일 2011.12.18
  • 파일확장자 디지털 기초설계 시계만들기 <제안서, 중간보고서 결과보고서, 회로도, 재료전부다있습니다)
    설계 목표아래의 조건들을 만족하는 디지털시계 제작을 통하여, 디지털 회로의 동작 이론 숙지와 하드웨어 시스템 설계 시 고려 사항의 적용, 전체 회로 시뮬레이션, 부품 배치와 wiring ... 등 전반적인 하드웨어 설계/제작 경험을 고취한다.* 수행 조건ⅰ) 시/분/초를 나타내는 기본적인 시계의 기능을 수행한다.ⅱ) 매초마다 깜빡이는 다이오드를 통해 시계의 동작을 확인한다 ... 설계 이론Ⅰ) 6진 카운터74LS90 IC칩을 이용하여 ‘초’와 ‘분’의 10의 자릿수를 구성하는 6진 카운터를 만듭니다.Ⅱ) 10진 카운터74LS90 IC칩을 이용하여 ‘초’와 ‘
    리포트 | 2페이지 | 5,000원 | 등록일 2016.08.18
  • 워드파일 논리 회로 설계 및 실험 디지털 시계 기말과제
    Digital Watch 주위를 둘러 보았을 때 상당히 쉽게 마주칠 수 있는 것이 디지털 시계이다. ... 논리 회로 설계 및 실험 기말 과제 [Digital Watch] INDEX Session1. ... 하지만 디지털 시계에서 쓰게 될 카운터로는 enable 제어신호를 갖는 카운터를 설계해야 한다 • 초의 일의 자리 10진 카운터 증가시점 : 1 Hz 클럭이 인가될 때마다 • 초의
    리포트 | 15페이지 | 1,000원 | 등록일 2010.10.19
  • 파일확장자 [ORCAD] PCB설계 디지털전자시계회로
    ORCAD 이용하요 디지털전자시계회로 그리기 캡쳐및 레이아웃까지 작성부품75개이상사용.풋프린트 라이브러리 생성하여 사용
    리포트 | 1,000원 | 등록일 2008.12.28
  • 파일확장자 디지털 도어락 및 디지털 시계 및 알람(digital door lock, digital clock) -vhdl 논리설계회로
    시계모드 , 디지털 시계설정모드, 알람모드, 그리고 다른 모드들과 동시에 작동하는 음소거 모드가 있다. ... 컴포넌트로는 7segment , key입력 컴포넌트, piezo컴포넌트 dot matrix컴포넌트, lcd컴포넌트 , 디지털 시계 컴포넌트가 있으며 이들을 전부 합쳐 가장 상위의 모듈에서 ... 설계 및 구현1.설계개념최대한 여러 개의 컴포넌트를 따로 구현하여 컴포넌트를 합치면서 설계한다.
    리포트 | 48페이지 | 3,000원 | 등록일 2011.06.03
  • 한글파일 [디지털회로실험]디지털 시계 설계(PLD)
    분, 초 디지털 시계 [목적] 1. 디지털 시계설계중 분과 초에 해당하는 카운터를 설계한다. 2. PLD를 이용한 디지털 실험을 통해 회로 구현을 수행한다. ... 디지털 시계설계중 시에 해당하는 카운터를 설계한다. 2. PLD를 이용한 디지털 실험을 통해 회로 구현을 수행한다. ... [기본이론] 12시까지 시간을 카운터하는 12진 카운터를 설계하고, 시간의 일의 자리와 십의 자리의 12진 디코더를 설계하므로써 「시」영역의 디지털 시계를 만들 수 있다. (1) 12진
    리포트 | 9페이지 | 1,500원 | 등록일 2005.12.10
  • 한글파일 논리회로 설계 및 구현-프로젝트명 : 디지털 시계 제작을 통한 논리회로 설계 및 구현
    논리회로 설계 및 구현 프로젝트 보고서 디지털 시계 제작 논리회로 설계 및 구현 프로젝트 보고서 프로젝트명 : 디지털 시계 제작을 통한 논리회로 설계와 구현 과 목 논리회로 교수님 ... 설계회로도 1) 전체 회로도 그림2 디지털 시계 블록도 2) 회로 상세 분석 a. 1Hz clock 발생회로 기준 신호 클록 발생회로디지털시계의 정확도에 매우 큰 영향을 주게 된다 ... 실험72 표1 주파수와 주기 환산표14 표2 초 단위 카운터 출력16 표3 디코더 입출력 데이터19 표3 제작일정21 그림 1 부품_세그먼트5 그림 2 디지털시계
    리포트 | 76페이지 | 4,000원 | 등록일 2010.09.13 | 수정일 2014.11.20
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업