• 캠퍼스북
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(999)
  • 리포트(944)
  • 시험자료(44)
  • 자기소개서(8)
  • 서식(2)
  • ppt테마(1)

"가산기,감산기" 검색결과 1-20 / 999건

  • 한글파일 가산기와감산
    8.가산기와 감산기 반가산기 한자리 2진수 2개를 입력하여 합과 캐리를 계산하는 덧셈회로 전가산기 2진수 입력 2개와 아랫자리 캐리까지 포함하여 한자리 2진수 3개를 더하는 조합논리회로이다 ... 전감산기 두 2진수 입력 An과 Bn과 아랫든으로 빌려주는 빌림수 Kn-1을 포함하여 An-Bn-Kn-1을 계산하는 조합논리 회로이다 2진 병렬가산기 전가산기 여러 개를 병렬로 연결하여 ... 2비트 이상인 가산기를 만들 수 있는데, 이를 병렬가산기라 한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.25
  • 한글파일 가산기와 감산
    가산기 전감산기 결과표 결과 및 토의 전가산기와 전감산기의 회로를 구성하는 것이 조금 복잡하다. ... 가산기는 2개의 반감산기를 이용해서 만들 수 있고 감산기는 2개의 반감산기를 통하여 만들 수 있는 것을 확인 할 수 있다. ... 전감산기의 출력은 (X-Y)-Z으로 결정이 되는데 여기서 Z는 하위비트 감산시 발생한 자리내림이다.
    리포트 | 3페이지 | 2,000원 | 등록일 2019.06.25
  • 한글파일 가산기, 감산기 설계
    실험 제목 ① 반가산기 ② 반감산기 ③ 전가산기 ④ 전감산기 2. 실험 목적 가산기, 감산기의 원리를 이해하고, 가산기, 감산기 회로를 설계하여 동작 특성을 확인한다. ... 가산기는 덧셈 회로이고 반가산기(HA) 2개를 합쳐서 전가산기(FA)를 만들 수 있고 감산기는 뺄셈 회로이고 반감산기(HS) 2개를 합쳐서 전감산기(FS)를 만들 수 있다. ... 가산기, 감산기의 진리표와 논리식을 이용하여 동작을 확인한다. 3.
    리포트 | 16페이지 | 1,500원 | 등록일 2020.11.15 | 수정일 2022.04.23
  • 파일확장자 가산기, 감산기 실험보고서
    그러므로 Breadboard 내부의 도선 저항을 고려하지 않았기에 회로 내 실제 저항값과 이론적 저항 값의 차이로 인해 오차 발생이 존재하며, 이는 가산기와 감산기의 을 구하는데
    리포트 | 7페이지 | 1,000원 | 등록일 2023.01.18
  • 파일확장자 가산기, 감산기 예비보고서
    신호 증폭을 위한 주 증폭기의 종류로는 전압증폭기와 전류증폭기가 있지만 여기서는 전압증폭기만을 취급한다. ... 연산증폭기를 사용하여 사칙연산이 가능한 회로 구성을 할 수 있으므로, 연산자의 의미에서 연산증폭기라고 부른다. 연산증폭기를 사용하여서 미분기 및 적분기를 구현할 수 있다. ... 기초 이론연산 증폭기는 고 이득 전압증폭기이다. 연산 증폭기는 두 개의 입력단자와 한 개의 출력단 자를 갖는다.
    리포트 | 13페이지 | 1,000원 | 등록일 2023.01.18
  • 한글파일 가산기와 감산기 회로 레포트
    가산기와 감산기 회로 1. 실험목적 ① 가산기 회로 설계 및 실험 ② 감산기 회로 설계 및 실험 ③ BCD 가산기 회로 설계 및 실험 2. ... 반가산기 2개로 전가산기를, 반감산기 2개로 전감산기를, 감산기의 경우 감산기모듈 외에 보수를 취해 가산기로 만들 수 있다는 것을 알게 되었다. ... 감산기를 보수를 취해서 사용하는 이유는 컴퓨터처럼 가산기, 감산기 논리회로가 설계된 기계에서 뺄셈을 하려면 감산기를 거쳐야 하는데 감산기 자체가 가산기보다 훨씬 복잡하고 작은 CPU
    리포트 | 5페이지 | 1,000원 | 등록일 2019.06.21
  • 한글파일 디지털 회로 실험-가산기와 감산
    가산기와 감산기 1. 목적 -반가산기와 전가산기의 원리를 이해한다. -반감산기와 전감산기의 원리를 이해한다. -2진병렬 가산기의 원리를 이해한다. 2. ... 가산기를 응용한 것으로 가산기에서의 합(sum)은 감산기에서 차(difference)가 되며, 가산기에서는 올림수(carry)가 발생했지만 감산기에서는 빌림수(borrow)가 발생한다 ... 토론(실험 내용 요약, 결과와 이론 비교, 실험결과 및 느낀 점 등) 1) 실험 내용 요약 : 반가산기와 전가산기, 반감산기와 전감산기 또 2진병렬 가산기의 원리를 이해하고 입력에
    리포트 | 18페이지 | 2,000원 | 등록일 2022.09.10
  • 한글파일 VHDL-1-가산기,감산기
    따라서 XOR에 0을 넣으면 가산기, 1을 넣으면 감산기 역할을 하는 회로를 만들 수 있다. 이것을 진리표로 작성하면 다음과 같다. ... 이용하기위해 포트맵으로 지정해준다. -- 반가산기와 마찬가지로 OR 게이트도 지정한다. -- X, Y의 입력으로 만들어지는 반가산기의 출력 sum을 temp1, carry를 temp2로 ... 이후 두 번째 반가산기에서 temp1과 Bin을 입력으로 사용한다.
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • 한글파일 디지털회로실험 가산기, 감산기 실험 레포트
    .- 비교기- 비교기는 2개의 전압이나 전류를 비교하고 더 큰 쪽을 가리키는 디지털 신호를 출력하는 장치이다.- 2진 비교기는 두 2진수 값의 크기를 비교하는 회로이다.- 보수기-
    리포트 | 8페이지 | 1,500원 | 등록일 2020.12.13
  • 한글파일 디시설 - 4비트 가산감산기 , BCD 가산
    결과 보고서 ( 4비트 가산/감산기 , BCD 가산기 ) 제목 4비트 가산/감산기 , BCD 가산기 실습 목적 BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다 ... /감산기에서 입력이 다음 표와 같을 때 FND에 나타나는 출력 값은 얼마인가? ... 이번 실험에서 설계한 BCD 가산기의 HEX-to-BCD 과정에서 입력이 각각 9이하의 값들의 합에만 의미가 있음을 알 수 있었다.
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 파워포인트파일 디지틀 논리회로 실험6 가산기와 감산
    가산기와 감산기 실험 목적 실험목적 반가산기와 전가산기의 원리를 이해한다 . 반감산기와 전감산기의 원리를 이해한다 . 가산기와 감산기의 동작을 확인한다 . ... 이용한 2 진 4bit 전감산기와 전가산기 결과분석 및 결론 먼저 반가산기와 전가산기를 구성해보았고 반감산기와 전가산기 그리고 2bit 병렬 2 진 가산기 회로까지 회로를 잘 구성하였다 ... 사용해 반감산기 회로를 구성한다 . 7404,7408,7486,7432 회로를 사용해 전감산기 회로를 구성한다 . 7400,7486,7404 회로를 사용해 2bit 병렬 2 진 가산
    리포트 | 13페이지 | 2,000원 | 등록일 2019.10.03 | 수정일 2021.10.17
  • 한글파일 디시설 - 전가산기, 전감산기 설계
    결과 보고서 ( 전가산기, 전감산기 설계 ) 제목 전가산기, 전감산기 설계 실습 목적 전감산기는 한 자리 이진수 뺄셈 시, 전가산기에서 더한 결과 캐리가 발생하는 것과 반대로 아랫자리에서 ... QuartusⅡ을 이용한 전가산기, 전감산기 구현을 숙달할 수 있었다. 고찰 전가산기와 전감산기의 동작 특성을 이해하고 캐리, 빌림수의 개념을 확실하게 알게 되었다. ... 그리고 감산한 결과와 위에서 빌린 수를 나타내야 한다. 전가산기, 전감산기 설계 과정을 통해 조합논리회로를 VHDL로 설계하는 방법에 대해 공부한다.
    리포트 | 9페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 [논리회로실험] 가산기&감산기 예비보고서
    가산기 & 감산기 1. ... 실험목적 1) Logic gate를 이용해서 가산기와 감산기를 구성한다 2) 디지털 시스템의 기본 요소인 가산기와 감산기의 기본 구조 및 동작원리를 이해한다. 2. ... - 반가산기 2개를 사용하여 전가산기 구성 - S=A?
    리포트 | 7페이지 | 1,000원 | 등록일 2021.04.06 | 수정일 2023.03.29
  • 워드파일 디지털 실험 7장(가산기,감산기) 결과보고서
    가산기, 감산기는 1학기 때 디지털공학 강의를 들으면서 배웠던 기억이 있는데, 이것을 실험으로 표현하려니 막막한 느낌이 들었다. 도무지 연결이 되지 않는 느낌이었다. ... 실험목적 - 가산, 감산 연산을 구현해 본다. - 4비트 2진수를 Excess – 3 코드로 변환하는 변환기를 설계, 구현, 실험한다. - 3 오버 플로우(overflow) 검출로 ... 부호화 수의 가산기 설계를 완성한다. 2.
    리포트 | 6페이지 | 3,000원 | 등록일 2019.12.17
  • 한글파일 [논리회로실험] 실험3. 가산기&감산기 결과보고서
    가산기 & 감산기 1. ... 고찰 기본적인 Logic gate를 이용해서 가산기와 감산기를 구성해보고 반가산기와 전가산기, 반감산기와 전감산기에 대해 학습하여 실험을 통해 예상 값과 비교해보았다. ... 실험 4의 경우 반가산기, 전가산기의 관계와 비슷하게 반감산기 2개와 OR 게이트로 전감산기 회로를 구성하였다.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.03.28
  • 한글파일 연산증폭기, 가산 감산, 반전 비반전 증폭
    가산증폭기 감산증폭기 가산 증폭기의 기본적인 골자는 반전 증폭기와 그 맥락이 같다. ... 차분 증폭기는 두 입력의 차를 출력으로 보내는 증폭기(공통 부분을 제거)로 반전 증폭기와 비반전 증폭기가 결합된 구조로 되어 있다. ... 연산증폭기 (OP-Amp)의 동작 특성 능동소자인 연산증폭기는 입력부에서 받아들인 작은 크기의 신호의 전압 or 전력을 증폭하여 출력으로 내보내는 역할을 한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.06.03
  • 한글파일 아주대 논리회로실험 실험3 가산기 & 감산기 예비보고서
    가산기 실험2. 전가산기 실험3. 반감산기 실험4. 전감산기 5. 실험 과정 실험1. ... 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 - 전가산기는 반가산기에 존재하던 입력 A, B이외에 추가적인 입력 C _{"in"} ... 전감산기 - 74HC86(XOR) 입력단자에 A, B를 인가한 뒤 나오는 출력 값과 입력 B _{"in"}을 74HC86(XOR) ml
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 한글파일 가산감산
    REPORT 제목 : 가산기&감산기 수강과목 : 기초전자실험2 1.실험목적 -가산기와 감산기가 무엇인지 이해한다. ... -가산기와 감산기의 동작원리에 대해 이해하고 실험을 통해 확인한다. 2.실험 배경 이론 가산기 *반가산기 한자리 2진수 2개를 입력하여 합(s)과 캐리(c)를 생성하는 회로 - 0+ ... &감산기 실험-#1(반가산기) 실험순서 1.브레드보드에 논리게이트를 이용하여 아래의 회로를 구성하시오.
    리포트 | 8페이지 | 2,000원 | 등록일 2018.11.02 | 수정일 2019.07.13
  • 한글파일 가산기와 감산
    가산기와 감산기, 4비트 2진 비교기 실험 ● 실험 목적 - 반가산기, 반감산기, 전가산기, 전감산기 회로를 만들어 보고, 정상 동작 하는지 실험을 통해 알아보고, 논리식으로 검토해 ... 전가산기와 반가산기를 조합하여 4비트 이상의 덧셈을 할 수 있다. ● 실험 구성 ④ 전감산기 설계 - 각각 3개의 입력 단자와 2개의 출력 단자를 가지는 회로로써, 뺄셈해야 할 2개의 ... 전감산기와 반감산기를 조합하여 4비트 이상 의 뺄셈을 할 수 있다. ⑤ 4비트 2진 비교기 설계 - 비교기는 두 2진수 값의 크기를 비교하는 논 리회로로써 8개의 Data input과
    리포트 | 7페이지 | 1,000원 | 등록일 2017.06.07
  • 한글파일 가산기와 감산
    목적 (1) 반가산기와 전가산기의 원리를 이해한다. (2) 반감산기와 전감산기의 원리를 이해한다. (3) 가산기와 감산기의 동작을 확인한다. (4) 가산감산을 할 수 있는 회로를 ... 가산기를 전가산기라 한다. ... 이론 내용 (1) 반가산기 (half adder) 2진수로 표시된 2개의 수를 합해서 얻어진 가산기를 반가산기라 합니다.
    리포트 | 14페이지 | 1,500원 | 등록일 2016.11.10
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업