• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(105)
  • 리포트(73)
  • 자기소개서(29)
  • 이력서(2)
  • 시험자료(1)

"VHDL을 이용한 전자시" 검색결과 1-20 / 105건

  • 한글파일 9장 VHDL 설계 툴 사용법 예비
    Xilinx ISE Design Suite를 이용하여 VHDL 설계에 대해서 이해하고 사용법을 익힌다. 다. ... Xilinx ISE Design Suite의 컴파일러와 시뮬레이터를 이용하여 VHDL로 설계한 회로에 대한 시뮬레이션 방법을 익힌다. 라. ... 기존의 심볼에 의한 회로도 작성 대신 언어적 형태로 전자회로의 기능을 표현한다.
    리포트 | 16페이지 | 1,000원 | 등록일 2021.01.06
  • 한글파일 Mux&Decoder2차레포트 디지털회로설계
    Schematic , VHDL (5) FND Decoder VHDL이용하여 구동하고 구현한 회로를 DE2보드를 사용하여 동작 결과 확인하기 논리게이트를 사용한 흐름제어 예를 ... 지정 후 값을 랜덤으로 준고 난 후 시뮬을 돌리면 SEL이 0일때는 A를 출력하다가 1이되면 B를 출력하는 것을 볼 수 있다. ... REPORT 기본로직 설계 및 시뮬레이션 검증 제출일 2020 전 공 전자공학 과 목 디지털회로설계 학 번 . 담당교수 . 이 름 .
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • 한글파일 디지털시스템설계실습 전감산기 결과보고서
    한 자리 이진수 뺄셈 시, 아랫자리에서 발생하는 빌림수와 감산한 결과와 위에서 빌린 수를 나타내야 한다. ... 디지털시스템 설계 실습 2주차 결과보고서 학과 전자공학과 학년 3 학번 성명 ※전감산기 설계(진리표. 논리식. 동작표현) 1. 전감산기 연산은 다음과 같다. ... 전감산기를 Verilog 또는 VHDL로 설계하고 다음에 코드를 나타내라. ① 논리조합회로를 이용 ② if~then~elsif~end if형식 2.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.04.16
  • 한글파일 [인하대 전자기초디지털논리설계]VHDL을 이용한 4bit Full Adder 설계
    전자기초디지털논리설계 10장 과제 1. 실습 제목 ModelSim을 이용한 VHDL 실습 과제 2. ... VHDL을 이용해 회로를 처음 설계해보았는데 기초적인 수준이지만 회로 설계의 첫 걸음을 뗄 수 있는 좋은 경험이었고 이번 실습을 통해 VHDL 코딩과 출력 파형을 분석하는 방법에 대해 ... 1bit fulladder 설계 시 XOR 연산 사용 금지 4.
    리포트 | 4페이지 | 1,500원 | 등록일 2022.03.14
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 5. Arithmetic comparator, Adder and ALU 결과 보고서
    , 2009 2) 서강대학교 전자공학과, 디지털 논리회로 실험, 서강대학교, 2017 ... VHDL로 설계시 실험 에서 사용할 수 있는 TTL 소자의 개수 및 종류와 fan-in, fan-out을 고려하여 처음 설계한 회로를 변 형할 필요가 없으므로 매우 편리하다. ... X=011일 때의 시뮬레 이션 결과는 [그림 8]과 같다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    두개의 차이점은 Verilog는 전자 시스템을 모델링하는 데 사용되는 HDL이며 VHDL은 현장 설계 가능 게이트 어레이 및 집적 회로와 같은 디래밍 할 때 적절하다. ... 전자전기컴퓨터공학부 설계 및 실험2 Pre La-03 Introduction to Verilog HDL 실 험 날 짜 학 번 이 름 목차 1. ... 하지만 문법을 이해하고 잘 숙지한다면 0과 1을 출력하는 실혐이기 때문에 오차없이 실험결과를 얻을 수 있으리라 예상한다. 6.
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    , 2009 2) 서강대학교 전자공학과, 디지털 논리회로 실험, 서강대학교, 2017 ... 이유는 알 수 없으나 앞으로 FPGA 구현시 실험실의 컴퓨터를 사용하는 것 이 좋을 것 같다. 4. ... 퀴즈 2번의 결과를 VHDL로 설계하고 FPGA로 구현하여 동작 확인 VHDL 코드 및 FPGA를 통한 구현 결과는 아래와 같다.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 8. Multiplier Design 결과 보고서
    Vranesic, 'Fundamentals of Digital Logic with VHDL Design', McGrawHill 2) 디지털 논리 회로 실험 매뉴얼, 서강대학교 전자공학과 ... 디지털논리회로실험(EEE2052-01) 서강대학교 전자공학과 2017년 2학기 결과레포트 실험8. Multiplier Design 1. ... 구 교재의 shift-add 곱셈기 방식을 살짝 변형한 combinational 곱셈기를 이용하였다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 워드파일 FPGA구조와 ASIC 설계 방법 실험 레포트
    일반적인 하드웨어 기술 언어는 VHDL과 베릴로그가 있다. 전자 설계 자동화 도구를 사용하면 기술적으로 매핑된 넷리스트가 생성된다. ... 하지만 가장 적은 면적으로 설계가 가능하므로 대량생산시 chip 단가가 가장 싼 장점이 있다. ... SOG(Sea of Gate) : CBIC방식과 비슷하나 다수의 동일한 cell이 배치되어 있는 형태를 이용하여 설계하는 방식이다.
    리포트 | 4페이지 | 2,500원 | 등록일 2021.11.08
  • 워드파일 2023상반기 현대자동차 R&D 합격 자소서
    컴파일 에러 발생 시, 시뮬레이션 결과를 바탕으로 원인을 파악하는 역량을 길렀습니다. 3) 아날로그 회로실험 A+ : 브레드보드와 오실로스코프 등을 이용해 R, L, C회로와 증폭기를 ... 2023 상반기 합격 자소서 현대자동차 R&D_전자 제어 개발 현대자동차 R&D_전자 제어 개발 해당 공고 및 세부 수행직무를 희망하는 이유와 본인이 적합하다고 판단할 수 있는 이유 ... )을 선정하여, 해당 과목에서 습득한 역량 및 성취도(학점)를 기술해 주십시오. ※ 석사과정자는 연구경력 및 세부 전공에 대해 기술해 주십시오. 1) 디지털 시스템 설계 A+ : VHDL을
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.07.12
  • 워드파일 2023상반기 LG전자 합격 자소서
    이는 소자에 대한 이해를 바탕으로 가전제품의 소모전력을 고려해 설계하는 업무와 관련된다고 생각합니다. 2) 디지털 시스템 설계 A+ VHDL을 이용해 디지털 시계 entity와 내부 ... 이는 회로 검증 시 나타나는 각종 이슈를 찾아 해결하는 엔지니어 업무에 꼭 필요하다고 생각합니다. 3) 디지털 회로실험 A0 설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 ... 하드웨어 개발 시 설계도를 바탕으로 각 파트의 송수신 신호를 고려해 최적의 회로를 구현하는 업무에 연관된다고 생각합니다. 4) 기초전자전기실험 A+ 각종 플리플랍, 카운터 등을 설계하며
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.12
  • 워드파일 2023상반기 DN솔루션즈 최종합격 자소서(+면접후기)
    지원 직무와 관련하여 본인이 지금까지 준비한 사항과 보유하고 있는 경험을 기술하세요. 1) 디지털 시스템 설계 A+ VHDL을 이용해 디지털시계 entity와 내부 아키텍처를 설계했습니다 ... 하드웨어 설계 시 일어날 수 있는 timing 이슈에 대한 문제해결 역량을 길렀습니다. 2) 디지털 회로실험 A0 설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 검증했습니다 ... 23상반기 최종합격 자소서 + PT면접, 임원면접후기 DN솔루션즈 R&D – 전기/전자 DN솔루션즈 R&D-전기/전자(최종합격) DN솔루션즈에 지원한 이유와 입사 후 회사에서 이루고
    자기소개서 | 4페이지 | 5,000원 | 등록일 2023.07.12 | 수정일 2023.08.26
  • 한글파일 VHDL이용한 FPGA설계 레포트코드해석본
    FINAL TERM PROJECT Reporting date 2018.06.22 Major 전자공학과 Subject 디지털시스템설계실습VHDL Student ID 5 Professor ... 학생 직장인 너나 할 거 없이 대부분 뛰어들었으며 빨리 시작한 사람들은 돈을 땄겠지만 그렇지 못하고 돈을 잃은 사람도 훨씬 많았기에 가상화폐 시장은 그야말로 시장이 아닌 투기장이 되었습니다 ... VHDL CODE 및 핀 할당 ·································· 9 4.
    리포트 | 25페이지 | 2,000원 | 등록일 2021.09.26
  • 한글파일 (합격자소서)만도헬라일렉트로닉스 연구개발
    대학 4학년 때, FPGA기반 VHDL을 이용한 라인트레이서 프로젝트를 수행하였습니다. ... 이러한 점을 극복하기 위하여, 평상시에 퍼즐을 맞추면서 시간을 여유롭게 가지려고 노력하고 있습니다. 다음은 멀티태스킹입니다. ... 첫 째, 회로이론, 전자회로, 제어공학 등 전자공학 관점에서 제어 분야에 대한 기본지식을 튼튼히 쌓았습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2020.12.31
  • 워드파일 [지방대/최종합격] SK하이닉스 회로개발 자기소개서
    또한, 논리회로설계 수업에서 FSM과 VHDL을 이용해 자판기를 설계했습니다. VHDL뿐만 아니라 Verilog를 배우고자 인터넷 강의로 독학했습니다. ... 그 결과 회로이론, 물리전자, 논리회로, 전자회로 등의 전공을 깊이 이해할 수 있었으며 평균 학점 4.35/4.5의 성적을 유지할 수 있었습니다. ... 그러나 여러 시도 끝에 영상 내 객체와 배경의 구분이 모호할 경우 saliency 알고리즘만으로는 좋은 결과를 얻기 힘들다는 결론을 내렸습니다.
    자기소개서 | 4페이지 | 4,500원 | 등록일 2023.05.05
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 9. Memory Elements : ROM/RAM 결과 보고서
    , 2009 2) 서강대학교 전자공학과, 디지털 논리회로 실험, 서강대학교, 2017 3) 서강대학교 전자공학과, 디지털 논리회로 실험 (구 교재), 서강대학교, 2017 ... 텀 프로젝트 수행시 7-segment를 활용하고자 한다면 이와 같 은 방법을 통해 여러 개의 7-segment에 각기 다른 패턴을 나타낼 수 있다. 6. ... 참고문헌 1) Stephen Brown & Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design, 3판, McGraw-Hill
    리포트 | 6페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 디지털시스템실험 2주차 예비보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 설계 및 실험 이름 : 학번 : 실험제목 ① FPGA 및 Verilog의 이해, Verilog를 통한 FPGA ... 데이터를 전달할 수 있지만 저장할 수는 없다. assign 문을 이용하여 값을 부여할 수 있다. (그림 1 참조) ? ... HDL은 Cadence의 독점언어였고 Cadence는 Verilog HDL과 관련된 소프트웨어 상품 시장이 급속도로 성장할 것을 예상하여 공적인 영역으로 Verilog를 공개하였다
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    Full Custom IC - 좁은 의미로는 full custom IC를 ASIC이라고 부르기도 한다. - 장점: 개발 목적에 가장 알맞은 설계의 자유도를 갖고있으며, 대량 생산 시 ... 빠르다는 장점이 있으며 ACTEL, QUICKLOGIC, CROSSPOINT 등이 그 예)으로 크게 구분 지을 수 있으며 EPROM 방식(ALTERA가 그 예)도 사용한다. - VHDL ... 실험의 목적 Verilog HDL 언어를 이용하여 디지털 회로를 디자인 하기에 앞서 Schematic 설계를 수행해 본다.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • 한글파일 현대자동차 설비 직무 합격자소서
    또한, 전세계적으로 강화되고 있는 환경규제 속에서 수소차, 전기차 등 친환경 자동차에 대한 새로운 시장이 형성되고 있습니다. ... 그래서 문제해결능력, 창의적인 사고 의 역량은 매우 중요하다고 생각합니다. < 발광 프로젝트 : 문제해결능력, 창의적 사고능력 > 전자종합설계1 실습에서 OLED 발광소자를 제작하며 ... 관련 수식, 이들의 관계 만이 아닌 정말 수많은 변수의 전체적인 보강 상쇄 관계까지 고려해야 함을 배웠습니다. < 포모도로 타이머 제작 > 디지털 시스템 설계수업에서 팀을 이뤄 VHDL을
    자기소개서 | 2페이지 | 3,000원 | 등록일 2023.07.26
  • 한글파일 순차논리회로설계 결과레포트
    이론에 의하면, load에 ‘1’을 입력하고 d에 ‘0’ 또는 ‘1’을 입력할 시 q1도 값은 값을 출력해야 한다. ... 전자공학실험3 Chap4 순차논리회로 설계 [Section 01] 간단한 상태도의 구현 [학습목표] · 순차논리 회로를 설계하기 위해 FSM도(상태도)를 작성하고, Verilog, ... VHDL로 설계하는 과정을 공부한다. · 설계된 순차논리 회로를 시뮬레이션으로 설계를 검증하고 실습키트에 동작을 확인한다.
    리포트 | 10페이지 | 3,000원 | 등록일 2021.06.10 | 수정일 2022.04.18
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업