• LF몰 이벤트
  • 캠퍼스북
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(113)
  • 리포트(103)
  • 시험자료(6)
  • 서식(4)

"Excess-3 Code" 검색결과 1-20 / 113건

  • 워드파일 BCD to Excess-3 Code Conveter
    BCD to Excess-3 Code Conveter Introduction VHDL code를 이해하고 BCD to Excess3 code converter logic을 VHDL ... 네번째 스위치(clk)를 올려야 그떄서야 excess에 값이 반영되는 것을 알 수 있다. < Assignment 2 CODE> entity SM1_2 is Port ( X, CLK ... : std_logic_vector(3 downto 0); BEGIN uut: bcd2excess3 PORT MAP( bcd => bcd, excess3 => excess3 ); tb
    리포트 | 15페이지 | 1,500원 | 등록일 2010.11.02
  • 워드파일 BCD to EXCESS-3 CODE CONVERTER
    이 딜레이는 그전의 출력값과 현재 실시된 출력값이 겹치는 것을 막아주는 역할을 한다. ▶ Excution Results on Spartan-3 BCD CODE EXCESS3 CODE ... 첫번째 과제에서는 BCD코드를 입력하면 설정된 EXCESS-3 코드가 출력되도록 하며 두번째 과제에서는 클럭값을 넣고 밀리형식을 따라 EXCESS-3코드의 값을 받아온다. ... ( BCD => BCD, EXCESS3 => EXCESS3); tb : PROCESS BEGIN -- Wait 100 ns for global reset to finish wait
    리포트 | 10페이지 | 1,000원 | 등록일 2010.03.26
  • 한글파일 디지털 회로(Gray Code, Excess - 3 Code, 보수, BCD Code)
    ---디지털 회로 리포트--- (1) Gray Code 그레이 코드는 현 상태에서 다음 상태로 코드의 그룹들이 변화할 때 단지 하나의 비트만이 변화되는 최소변화코드(minimum-change ... 뺀다. 1000 1100 59의 3 초과 코드 ∴ 1000 1100 ① 캐리가 없는 예 : 3 ------ 0110 ------(3의 3초과 코드) + 6 ------ + 1001 ... 결론적으로, 보수형의 언급 없이 보수라는 단어는 비산술적 응용에 쓰일 때 1의 보수로 가정한다. (4) BCD Code BCD(binary coded decimal) 코드는 10진
    리포트 | 17페이지 | 2,500원 | 등록일 2008.09.05
  • 워드파일 VHDL BCD to Excess-3 Code Converter(post lab) vhdl code 입니다.
    이것으로 BCD to Excess-3 Code Converter가 제대로 작동하고 있다는 것을 알 수 있다. 2) Excess-3 Serial Code Converter Behavioral ... " 3) Excess-3 Serial Code Converter Dataflow Source Results HYPERLINK \l "discussion" 3. ... 이것은 두 개의 simulation결과가 BCD to Excess-3 Code Converter임을 나타내 주고 있다.
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.28
  • 워드파일 VHDL BCD to Excess-3 Code Converter(prelab) xilinx spartan3e board실험
    BCD to Excess-3 Code Converter (1) BCD to Excess-3 Code 변환기란? 10진값에 3(0011)을 더한 2진 코드를 말하는 것이다. ... 전자전기컴퓨터설계실험III PRELAB REPORT [BCD to Excess-3 Code Converter] 학 과 담당교수 조 학 번 이 름 제 출 일 목 차 HYPERLINK ... 실 험 소 개 (Introduction) 1) Purpose of the Experiment 이번 실험에서는 이전 실험했던 내용을 기초로 BCD to excess-3 code converter를
    리포트 | 28페이지 | 2,000원 | 등록일 2008.09.28
  • 워드파일 시립대 전전설2 Velilog 결과리포트 5주차
    마지막으로 응용과제인 BCD to Excess - 3 Code Convertor를 분석해보자. ... 특징 : 가중치 코드 < BCD코드의 표현 방법 > 5) Excess-3 Code ( 3초과코드 ) 부호화 10진법 (수 표시법의 일종으로, 10진수를 부호화하여 표시하는 것. ... BCD to Excess3 Converter - 시뮬레이션 결과 Functional simulation Code code Code 설명 BCD 값이 00010000보다 작은 값이 입력되면
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • 한글파일 [서울시립대] A+ 전자전기컴퓨터설계2(Bcd converter 코드포함) 5주차예비레포트
    알 수 있다.) => UCF(PIN 설정) ● 아래 표를 참조하여 BCD to Excess-3 Code Convertor를 설계하시오. ... 참고문헌 전자전기컴퓨터설계실험 교안 lab05 https://space-inst.blogspot.com/2020/03/vlsi-bcd-to-excess-3-and-excess-3-to.html ... https://www.sanfoundry.com/plc-program-implement-bcd-excess-3-code-converter/
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서5
    1000 1001 Excess-3 code 0011 0100 0101 0110 0111 1000 1001 1010 1011 1100 - Excess-3 code는 각 bit를 반전하면 ... 1000 1001 - Excess-3 code는 변환이 이루어진 8421(BCD) code에 3(0011)을 더해서 나타낸 코드이다. ... D1 D2 D3 A B 1 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 1 0 0 0 0 1 1 mal, 2진화 10진수) codeExcess-3 code - 8421
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • 한글파일 시립대_전전설2_Velilog_예비리포트_7주차
    Serial I/O BCD to Excess-3 Code Converter 5, 6주차 실험에서 진행하였던 BCD to Excess-3 Converter의 입력과 출력 모두를 직렬로 ... 컴파일 및 코드 분석 (2) 핀 설정 (3) 테스트 벤치 작성 후 컴파일 (4) 시뮬레이션 3) 직렬 입력 / 병렬 출력 BCD to Excess-3 code converter (1 ... 이에 따라 Excess라는 Output에 Excess-3코드로 변환된 값이 제대로 나오게 된다. (2) 시뮬레이션 결과 bcd 0000 0001 0010 0101 1010 0000
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11 | 수정일 2022.04.21
  • 한글파일 시립대 전전설2 Velilog 결과리포트 6주차
    ) Serial-Input/Parallel-Output BCD to Excess-3 code Converter SIPO Resistor을 사용해서 BCD to Excess-3 Convertor를 ... 그 후 BCD코드가 들어가면 0011을 더해주어 Excess-3 code가 나오도록 한 회로이다. ? 진리표 3. ... 이를 이용해서 Serial-Input/Parallel-Output BCD to Excess-3 code Converter를 설계해 볼 것이다.
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 파워포인트파일 사회과학 ) Caning in Singapore Is it truly beneficial 할인자료
    Anti-Caning Perspective Conclusion References 3 What is Caning? ... considered as an appendage of British India In 1867, the Straits Settlement Penal Code was re-introduced ... describes his barbaric punishment, Mail, 2020 Souchou Yao, Singapore, the State and the culture of excess
    리포트 | 9페이지 | 5,000원 (5%↓) 4750원 | 등록일 2021.08.10
  • 한글파일 논리회로실험 예비보고서5
    -Excess-3 코드 : Excess-3코드는 BCD 코드보다 3만큼 더 큰 값으로 BCD 코드를 Excess-3으로 바꾸는 방법은 BCD코드에 3을 더하면 된다. (10진수: 3 ... 아래표를 통해 10진수를 Excess-3 코드로 바꿔주는 인코더의 기능을 확인할 수 있다. ... -실험 3) 10진/ Excess-3 코드 인코더 ① 74HC04(inverter)와 74HC08(and gate)를 이용하여 위와 같은 회로를 구성한다. ② 아래표의 왼쪽 부분과
    리포트 | 10페이지 | 1,500원 | 등록일 2020.09.18
  • 워드파일 시립대 전전설2 Velilog 예비리포트 7주차
    Serial I/O BCD to Excess-3 Code Converter 5, 6주차 실험에서 진행하였던 BCD to Excess-3 Converter의 입력과 출력 모두를 직렬로 ... 저번 실험에서 만들었던 것과 같이 BCD to Excess-3 Converter를 만드는 것이지만 이번에는 Input과 Output이 모두 Serial (직렬) 로 표현되는 식을 만드는 ... 실험 장비 및 부품(Materials of the Experiment) 1) 장비 노트북 Xilinx ISE 프로그램 HBE-Combo Ⅱ-DLD 실험 코드 분석 1.Moore Machine
    리포트 | 17페이지 | 1,000원 | 등록일 2021.04.16
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 설계계획서
    Excess-3 code decoder를 이용하여 얻은 수에 반전을 취하고, 다시 0011(2)=3을 빼 9의 보수를 얻는다. 6. ... 카운터에 반전 기능(남은 수만큼 거꾸로 새는)을 추가하여 설계한다. 2-2. 5분 타이머에 반전 기능(남은 시간만큼 거꾸로 새는)을 추가하여 설계한다. 3. ... REPORT 전자공학도의 윤리 강령 (IEEE Code of Ethics) (출처: http://www.ieee.org) 나는 전자공학도로서, 전자공학이 전 세계 인류의 삶에 끼치는
    리포트 | 3페이지 | 1,500원 | 등록일 2021.10.24
  • 한글파일 [논리회로실험] 실험5. Decoder&Encoder 결과보고서
    Excess-3 회로는 십진수 수에 3을 더한 수를 이진수로 표현한다. ... 10진 / Excess-3 코드 문제회로 설계한 회로 - 주어진 회로를 구성한다. - 입력 값을 변경해가며 변화를 관찰한다. - 실험결과 S0=1 S1=1 S2=1 S3=1 S4=1 ... 실험 3의 경우 Excess-3 회로로 입력 값의 십진수에 +3을 하여 4비트의 이진수로 출력하는 역할을 한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2023.03.28
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서5
    0 2 0 1 0 1 3 0 1 1 0 4 0 1 1 1 5 1 0 0 0 - 74HC20과 74HC04를 사용하여 ‘인코딩 10진 / Excess-3 코드’를 구성한 회로의 결과로써 ... 인코딩 10진 / Excess-3 코드 결선도 회로 D=0 D=1 D=2 D=3 입 력 출 력 D A _{3} A _{2} A _{1} A _{0} 0 0 0 1 1 1 0 1 0 ... 세 번째 실험은 NAND와 NOT gate로 Excess-3 code 인코더를 구성하여 십진수 입력 0~5에 따라 3(0011)이 더해진 인코딩이 이루어지는지 확인하는 실험이었다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • 한글파일 아주대 논리회로실험 실험5 Decoder & Encoder 예비보고서
    이때 4-bit input의 범위가 1010~1111인 경우 허용되지 않는 입력이 들어온 경우 모든 다이 오드에 불이 들어오지 않는다. - 실험3(Encoder / Excess-3) ... / Excess-3) 1) 다음 회로도와 같이 74HC04, 74HC20을 준비하여 Bread Board에 연결한다. 2) 입력 SW0~SW5를 각각의 IC 입력 핀에 연결한다. ... L L 2) Encoder 인코더는 앞에서 설명한 디코더와 반대동작을 하는 논리회로로 10진수나 8진수의 입력을 받아 BCD, Excess-3와 같은 코드로 변환해주는 논리회로로 일반적으로
    리포트 | 10페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 한글파일 합격자가 알려주는 남동발전 전기NCS 전자 및 통신일반
    (대표적인 가중치 코드) ⑤ 3초과(excess-3)코드 : 8421 코드에 3을 더해서 만든 코드. ... for Information Interchange) Code - 7bit로 27=128가지 표현, 3bit Zone field가 8가지 상태 정보를 제공. ... 안테나의 분류 - 사용주파수에 따른 분류 1) 장, 중파용 : 3 ~ 300㎑ 2) 단파용 : 3 ~ 30㎒ 3) 초단파 및 극초단파 : 30 ~ 3000㎒ 4) 마이크로웨이브용 :
    시험자료 | 31페이지 | 11,900원 | 등록일 2021.03.10 | 수정일 2022.04.19
  • 한글파일 [논리회로실험] Decoder & Encoder 예비보고서
    Excess-3코드 (3 초과 부호) - 이진화 십진법의 일종 - 십진수의 각 자리를 그 숫자에 3을 더한 4비트 이진수로 표기하는 방법 - 비트를 반전 하는 것만으로도 9의 보수를 ... 10진 / Excess-3 코드 - 주어진 회로를 구성한다. - 입력 값을 변경해가며 변화를 관찰한다. - 예상결과 S _{5}S_{4}S_{3}S_{2}S_{1}S_{0}D _{4 ... REPORT 전자공학도의 윤리 강령 (IEEE Code of Ethics) (출처: http://www.ieee.org) 나는 전자공학도로서, 전자공학이 전 세계 인류의 삶에 끼치는
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.07 | 수정일 2023.03.29
  • 한글파일 [논리회로실험] 가산기&감산기 예비보고서
    10진 / Excess-3 코드 - 주어진 회로를 구성한다. - 입력 값을 변경해가며 변화를 관찰한다. - 예상결과 I _{0}I _{1}I _{2}I _{3}I _{4}I _{5} ... REPORT 전자공학도의 윤리 강령 (IEEE Code of Ethics) (출처: http://www.ieee.org) 나는 전자공학도로서, 전자공학이 전 세계 인류의 삶에 끼치는 ... _{1}' S _{2}' S_3' S_4')' D_4= S_5 4) 실험 4 : 8X3 Priority Encoder - 주어진 회로를 구성한다. - 입력 값을 변경해가며 변화를 관찰한다
    리포트 | 7페이지 | 1,000원 | 등록일 2021.04.06 | 수정일 2023.03.29
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업