• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(118)
  • 리포트(111)
  • 시험자료(7)

"BCD Adder" 검색결과 1-20 / 118건

  • 파일확장자 BCD adder
    BCD adder (1)BCD(Binary-Coded-Decimal).10진수를 8-4-2-1의 자리 값을 가진 2진수로 표현BCD adder.BCD로 표현 된 2진 가산기.2진 가산만을 ... 진행할 경우 두 수의 합이 9를 초과하면 BCD sum ≠ Binary Sum⇒9를 초과하는 경우 6을 더해서 해결BCD adder (2)
    리포트 | 9페이지 | 1,000원 | 등록일 2021.07.16
  • 한글파일 4 bit BCD adder.subtraction
    부호를 갖는 4 bit BCD adder/subtraction을 설계하시오.sol)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all ... ;entity BCD isport ( a, b:in std_logic_vector(3 downto 0);s:out std_logic_vector(4 downto 0));end BCD ... ;architecture test of BCD issignal c:std_logic_vector(4 downto 0);signal k:std_logic;begin
    리포트 | 2페이지 | 2,000원 | 등록일 2009.06.05
  • 한글파일 VHDL - 4bit 가산기를 이용한 BCD adder, 4bit 가산기를 이용하지않은 BCD adder
    BCD adder를 설계할 때 결과적으로 더하기를 위한 부분의 소스가 필요합니다. ... 이렇게 되면 6을 더한 첫 번째 BCD 변수 공간은 overflow가 생겨서 위에 4bit adder로 구현한 부분과 같은 결과를 얻을수 있게 됩니다. ... 4bit 가산기를 이용한 BCD adder 소스 분석 우선 4bit가산기를 이용하여 두 개의 입력값(a,b)을 더하게 됩니다. process문을 이용하여더한값이
    리포트 | 3페이지 | 3,500원 | 등록일 2011.11.24
  • 한글파일 디지털 시스템 실험, Verilog 코딩, Adder/Subtractor/Multiplier/Divider, Binary to BCD 설계, FPGA보드 결과 포함
    Binary to BCD코드를 모델심에서 시뮬레이션을 돌려보았다. ... 토의 이번 실험은 Half Adder, Full Adder, 4bit Adder/Subtractor 그리고 Multiplier를 설계하고 FPGA 보드에 연결하여 4bit Adder ... Half Adder 코드를 작성하였다. 2. Half Adder코드를 이용하여 Full Adder 모듈을 만들고 TestBench를 작성하였다. 3.
    리포트 | 5페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.23
  • 파일확장자 [전자회로] BCD-adder
    BCD adder
    리포트 | 1페이지 | 1,000원 | 등록일 2002.06.20
  • 파일확장자 [디지털공학] BCD ADDER 7-SEGMENT
    :in std_logic ); end bcd_adder; architecture behavior of bcd_adder is signal z_sum1 : std_logic_vector ... ; architecture beh of top_bcd is component bcd_adder port( x : in std_logic_vector(3 downto 0); y : in ... ..FILE:bcd_adder.vhd library ieee ; use ieee.std_logic_1164.all ; use ieee.std_logic_unsigned.all ; entity
    리포트 | 4페이지 | 1,000원 | 등록일 2003.06.03
  • 한글파일 울산대학교 디지털시스템 기말고사
    full adder를 설계하고 이를 이용하여 4 bit BCD addder를 설계하시오. ... Johnson counter를 D flip flop을 사용해서 설계하시오. 3. 8 bit shift right register를 D flop flop을 이용하여 설계하시오. 4. half adder와 ... 합이고 합이 10 이상(10~19)인 경우, 2진수의 합과 2진수 0110의 합이 BCD 합이고 이 때 2진수 합에 2진수 0110가 더해짐을 볼 수 있다.
    시험자료 | 4페이지 | 2,000원 | 등록일 2020.12.27
  • 한글파일 BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정
    BCD 가산법(BCD adder) ? ... 이 때문에 일부 프로세서는 아예 BCD로 덧셈과 뺄셈 등의 간단한 연산을 할 수 있는명령을 갖추고 있다. BCD 덧셈은 BCD 수를 4비트씩 묶어서 2진 덧셈 규칙처럼 더한다. ... BCD코드 정의 이진화 십진법BCD(Binary-Coded-Decimal code)은 이진수 네자리를 묶어 십진수 한자리로 사용하는 기수법이다.
    리포트 | 5페이지 | 6,000원 | 등록일 2020.07.01
  • 파워포인트파일 기초전자회로및실험2 -ALUs(Arithmetic logic units)를 이용한 n-bit 계산기 설계
    이를 4bit adder(74283) 2 개를 이용하여 구현한 8bit BCD to Binary 를 통해 binary 로 변환시켜 2 진수 표현 입력 스위치에는 풀업 저항을 사용 PSPICE ... 출 력 2bit 출력 to 7Segment 연산 출력값 2bit (6bit) 74185 ( Binary to BCD converter ) 7447 (BCD to7segment) BCD ... 감가산기 - 계산기의 집적도를 고려 가산기와 감산기를 동시에 설계 -AND, OR, XOR 와 같은 기본 소자들로 FULL ADDER 를 구현하고 , 이를 합쳐서 가산기를 구현했다
    리포트 | 15페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 워드파일 BCD가산기 verilog 설계
    실습 내용 실습결과 Verilog설계 - BCD 가산기의 Verilog 코드 기술 BCD_ADDER tb_BCD_ADDER module BCD_ADDER(A,B,C,RESULT); ... ; reg [3:0] A; reg [3:0] B; wire C; wire [3:0] RESULT; BCD_ADDER tb(.A(A), .B(B), .C(C), .RESULT(RESULT ... )); initial begin $dumpfile("tb_BCD_ADDER_out.vcd"); $dumpvars(-1,tb); $monitor("%b",RESULT); end initial
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 한글파일 논리회로설계실험 BCD가산기 레포트
    가장 어려웠던 부분은 BCD Adder 코드였다. ... 그 결과 두 자리 수 덧셈을 하는 “BCD Adder”, BCD를 7 segment로 나타내는 “BCD to 7 segment Decorder”는 기본적으로 필요할 것이라고 생각되었다 ... (A2&A1)+(B2&B1)=(F3&F2&F1)이 되는 것을 확인할 수 있었다. seg3는 F3의 segment형으로 나타낸 것이고 링으로, BCD Adder to 7 segment
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 한글파일 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    이는 오CD adder 1bit adder - 구조적 모델링을 사용하여 bcd 가산기를 설계하였다. ... 설계 목표 BCD, BCD 덧셈, 7 segment에 대해 조사해보고, BCD to 7segment adder를 어떻게 구성할 수 있을까 고민해본다. ... 출처 1) BCD & BCD adder http://www.electrical4u.com/bcd-or-binary-coded-decimal-bcd-conversion-addition-subtraction
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 Term_Project_보고서_1조
    입력을 받기 때문에 우리가 구현한 연산은 bcd adder이므로 추가적인 변환 없이 7447(BCD-to-7segment)로 입력해주었다. ... 일의자리 연산 시 7404(Inverter)를 거쳐서 나온 일의자리 값들을 4bit BCD adder와 같이 74283(FULL ADDER)으로 넣고 overflow를 잡기 위하여 ... BCD adder를 사용하여 우리가 구현하고자 하는 6비트 출력을 위하여 십의자리 연산과 일의자리 연산을 달리하였다.
    리포트 | 7페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 한글파일 디지털논리회로실험(Verilog HDL) - Adders
    실험목적 : 1-Digit BCD Adder를 구현할 수 있다. ? ... Create a new Quartus Ⅱ project for your BCD adder. ... adds two BCD digits.
    리포트 | 12페이지 | 1,000원 | 등록일 2019.08.29
  • 워드파일 D Flip-Flop을 활용한 십진 감가산기
    DIP 스위치(5bit,1bit)- 3개 계산 모듈 7432 OR Gate & 7408 AND Gate 5개 사용 7486 XOR Gate 6개 / 7483 4bit FULL Adder ... D Flip-flop에 연결하여 Positive Edge에 작동하는 D Flip-Flop 과 Negative Edge에 작동하는 D Flip-Flop을 만들어 7483 4bit Adder에 ... 2개 사용 출력 모듈 7447 BCD to 7-Segment & 7-Segment display 1개 일정 계획 및 역할 분담 날짜 업무 역할분담 1주차(~09.30) 설계 요구
    리포트 | 13페이지 | 3,500원 | 등록일 2022.05.01
  • 한글파일 디코더, mux, comprator, 4비트 감가산기
    이를 응용해서 BCD 2진법 입력값 4개를 이용해서 7segment Display방식을 이용해서 숫자를 출력 할 수도 있습니다. ... 제 목 : Decoder, Mux, Comparator, 4bit-adder-subtractor 2. ... =(((a0&(a0^b0))'|(a1^b1))&(a1&(a1^b1))')' 4bit-adder-subtractor 위 식은 4bit 가감산기를 나타낸것인데 이는 하나의 회로에서 덧셈과
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • 한글파일 디시설 - 4비트 가산감산기 , BCD 가산기
    adder_value 는 입력 값 형식으로 0~9를 표현하기 위해서는 4비트가 필요하고 4비트로는 0~( 2^{ 4}-1)의 수를 표현 할 수 있기 때문에 0~2**adder_width ... 1 로 설정. result_value 는 출력 값 형식으로마찬가지로 0~9의 숫자만 표현하면 되기 때문에 adder_value와 동일한 범위로 설정. architecture 선언 : ... 가산기 VHDL 코드 - 코드 주요 내용 및 동작 부분 해석 package 선언 : 4~11행에서 adder_width 는 정수 4, result_width 도 정수 4 subtype
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    P3, P2, P1, P0; //8// wire a1, b1, c1, d1, e1, f1, g1; //9// wire a2, b2, c2, d2, e2, f2, g2; //10// adder ... //4// os_COM은 8비트 출력이다. //5// oS_ENS는 7비트 출력이다. //6// 5비트 벡터 Sum 을 선언한다. //7// P9~P0를 선언한다. //10// adder ... 라인 디코더를 이용한, 4비트 2진값의 8비트 BCD로 변환하는 컨버터 설계 2. BCD 입력을 7-segment로 출력하는 디지털 회로 설계 3.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • 한글파일 논리회로 (정연모) 기말 전체 족보 정리
    counter 차이점 2.binary adder 와 serial adder의 장단점 2가지 이상씩 쓰기. 3. 10100110을 해밍코드를 이용하여 12bit로 표현하고 유도하는 ... 3. 1) 4 비트의 asynchronous ripple counter를 T f/f 와 D f/f 각각을 이용하여 설계하고 설명하시오. 2) 병렬을 가진 이진 카운터를 이용하여 BCD카운터를 ... decoder와 counter로 표현 3) SRAM DRAM차이 4) coincident register 쓰는 이유 5) PLA 설명 6)hold time 7)binary counter와 BCD
    시험자료 | 2페이지 | 1,500원 | 등록일 2022.04.07
  • 워드파일 Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    통하여 구현하는 방법을 익힌다. - Verilog로 구현한 Adder를 FPGA보드의 7segment를 통하여 구현하는 방법을 익힌다. 3 관련 이론 1. ... Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트 1. ... 실험 제목 1) Vivado를 이용한 BCD to 7segment decoder의 구현 2.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업